Clock interconnect

Todo

describe this madness

CLK_HROW

CLK_HROW bittile 0
RowColumn
012
0 CLK_HROW:BUF.GCLK24CLK_HROW:MUX.HCLK_L7[15]CLK_HROW:MUX.HCLK_R7[15]
1 CLK_HROW:BUF.GCLK25CLK_HROW:MUX.HCLK_L7[0]CLK_HROW:MUX.HCLK_R7[0]
2 CLK_HROW:BUF.GCLK19CLK_HROW:MUX.HCLK_L7[14]CLK_HROW:MUX.HCLK_R7[14]
3 CLK_HROW:BUF.GCLK18CLK_HROW:MUX.HCLK_L7[13]CLK_HROW:MUX.HCLK_R7[13]
4 CLK_HROW:BUF.GCLK17CLK_HROW:MUX.HCLK_L7[1]CLK_HROW:MUX.HCLK_R7[1]
5 CLK_HROW:BUF.GCLK8CLK_HROW:MUX.HCLK_L7[2]CLK_HROW:MUX.HCLK_R7[2]
6 CLK_HROW:BUF.GCLK9CLK_HROW:MUX.HCLK_L7[12]CLK_HROW:MUX.HCLK_R7[12]
7 CLK_HROW:BUF.GCLK10CLK_HROW:MUX.HCLK_L7[11]CLK_HROW:MUX.HCLK_R7[11]
8 CLK_HROW:BUF.GCLK11CLK_HROW:MUX.HCLK_L7[10]CLK_HROW:MUX.HCLK_R7[10]
9 CLK_HROW:BUF.GCLK16CLK_HROW:MUX.HCLK_L7[9]CLK_HROW:MUX.HCLK_R7[9]
10 CLK_HROW:MUX.HCLK_R7[19]--
11 CLK_HROW:MUX.HCLK_L7[19]CLK_HROW:MUX.HCLK_L7[8]CLK_HROW:MUX.HCLK_R7[8]
12 -CLK_HROW:MUX.HCLK_L7[16]CLK_HROW:MUX.HCLK_R7[16]
13 -CLK_HROW:MUX.HCLK_L7[18]CLK_HROW:MUX.HCLK_R7[18]
14 -CLK_HROW:MUX.HCLK_L7[17]CLK_HROW:MUX.HCLK_R7[17]
15 -CLK_HROW:MUX.HCLK_L7[3]CLK_HROW:MUX.HCLK_R7[3]
16 -CLK_HROW:MUX.HCLK_L7[4]CLK_HROW:MUX.HCLK_R7[4]
17 -CLK_HROW:MUX.HCLK_L7[5]CLK_HROW:MUX.HCLK_R7[5]
18 -CLK_HROW:MUX.HCLK_L7[6]CLK_HROW:MUX.HCLK_R7[6]
19 -CLK_HROW:MUX.HCLK_L7[7]CLK_HROW:MUX.HCLK_R7[7]
20 -CLK_HROW:MUX.HCLK_L5[15]CLK_HROW:MUX.HCLK_R5[15]
21 -CLK_HROW:MUX.HCLK_L5[0]CLK_HROW:MUX.HCLK_R5[0]
22 -CLK_HROW:MUX.HCLK_L5[14]CLK_HROW:MUX.HCLK_R5[14]
23 -CLK_HROW:MUX.HCLK_L5[13]CLK_HROW:MUX.HCLK_R5[13]
24 -CLK_HROW:MUX.HCLK_L5[1]CLK_HROW:MUX.HCLK_R5[1]
25 -CLK_HROW:MUX.HCLK_L5[2]CLK_HROW:MUX.HCLK_R5[2]
26 -CLK_HROW:MUX.HCLK_L5[12]CLK_HROW:MUX.HCLK_R5[12]
27 -CLK_HROW:MUX.HCLK_L5[11]CLK_HROW:MUX.HCLK_R5[11]
28 -CLK_HROW:MUX.HCLK_L5[10]CLK_HROW:MUX.HCLK_R5[10]
29 -CLK_HROW:MUX.HCLK_L5[9]CLK_HROW:MUX.HCLK_R5[9]
30 CLK_HROW:MUX.HCLK_R5[19]--
31 CLK_HROW:MUX.HCLK_L5[19]CLK_HROW:MUX.HCLK_L5[8]CLK_HROW:MUX.HCLK_R5[8]
32 -CLK_HROW:MUX.HCLK_L5[16]CLK_HROW:MUX.HCLK_R5[16]
33 -CLK_HROW:MUX.HCLK_L5[18]CLK_HROW:MUX.HCLK_R5[18]
34 -CLK_HROW:MUX.HCLK_L5[17]CLK_HROW:MUX.HCLK_R5[17]
35 -CLK_HROW:MUX.HCLK_L5[3]CLK_HROW:MUX.HCLK_R5[3]
36 -CLK_HROW:MUX.HCLK_L5[4]CLK_HROW:MUX.HCLK_R5[4]
37 -CLK_HROW:MUX.HCLK_L5[5]CLK_HROW:MUX.HCLK_R5[5]
38 -CLK_HROW:MUX.HCLK_L5[6]CLK_HROW:MUX.HCLK_R5[6]
39 -CLK_HROW:MUX.HCLK_L5[7]CLK_HROW:MUX.HCLK_R5[7]
40 -CLK_HROW:MUX.HCLK_L3[15]CLK_HROW:MUX.HCLK_R3[15]
41 -CLK_HROW:MUX.HCLK_L3[0]CLK_HROW:MUX.HCLK_R3[0]
42 -CLK_HROW:MUX.HCLK_L3[14]CLK_HROW:MUX.HCLK_R3[14]
43 -CLK_HROW:MUX.HCLK_L3[13]CLK_HROW:MUX.HCLK_R3[13]
44 -CLK_HROW:MUX.HCLK_L3[1]CLK_HROW:MUX.HCLK_R3[1]
45 -CLK_HROW:MUX.HCLK_L3[2]CLK_HROW:MUX.HCLK_R3[2]
46 -CLK_HROW:MUX.HCLK_L3[12]CLK_HROW:MUX.HCLK_R3[12]
47 -CLK_HROW:MUX.HCLK_L3[11]CLK_HROW:MUX.HCLK_R3[11]
48 -CLK_HROW:MUX.HCLK_L3[10]CLK_HROW:MUX.HCLK_R3[10]
49 -CLK_HROW:MUX.HCLK_L3[9]CLK_HROW:MUX.HCLK_R3[9]
50 CLK_HROW:MUX.HCLK_R3[19]--
51 CLK_HROW:MUX.HCLK_L3[19]CLK_HROW:MUX.HCLK_L3[8]CLK_HROW:MUX.HCLK_R3[8]
52 -CLK_HROW:MUX.HCLK_L3[16]CLK_HROW:MUX.HCLK_R3[16]
53 -CLK_HROW:MUX.HCLK_L3[18]CLK_HROW:MUX.HCLK_R3[18]
54 -CLK_HROW:MUX.HCLK_L3[17]CLK_HROW:MUX.HCLK_R3[17]
55 -CLK_HROW:MUX.HCLK_L3[3]CLK_HROW:MUX.HCLK_R3[3]
56 -CLK_HROW:MUX.HCLK_L3[4]CLK_HROW:MUX.HCLK_R3[4]
57 -CLK_HROW:MUX.HCLK_L3[5]CLK_HROW:MUX.HCLK_R3[5]
58 -CLK_HROW:MUX.HCLK_L3[6]CLK_HROW:MUX.HCLK_R3[6]
59 -CLK_HROW:MUX.HCLK_L3[7]CLK_HROW:MUX.HCLK_R3[7]
60 -CLK_HROW:MUX.HCLK_L1[15]CLK_HROW:MUX.HCLK_R1[15]
61 -CLK_HROW:MUX.HCLK_L1[0]CLK_HROW:MUX.HCLK_R1[0]
62 -CLK_HROW:MUX.HCLK_L1[14]CLK_HROW:MUX.HCLK_R1[14]
63 -CLK_HROW:MUX.HCLK_L1[13]CLK_HROW:MUX.HCLK_R1[13]
64 -CLK_HROW:MUX.HCLK_L1[1]CLK_HROW:MUX.HCLK_R1[1]
65 -CLK_HROW:MUX.HCLK_L1[2]CLK_HROW:MUX.HCLK_R1[2]
66 -CLK_HROW:MUX.HCLK_L1[12]CLK_HROW:MUX.HCLK_R1[12]
67 -CLK_HROW:MUX.HCLK_L1[11]CLK_HROW:MUX.HCLK_R1[11]
68 -CLK_HROW:MUX.HCLK_L1[10]CLK_HROW:MUX.HCLK_R1[10]
69 -CLK_HROW:MUX.HCLK_L1[9]CLK_HROW:MUX.HCLK_R1[9]
70 CLK_HROW:MUX.HCLK_R1[19]--
71 CLK_HROW:MUX.HCLK_L1[19]CLK_HROW:MUX.HCLK_L1[8]CLK_HROW:MUX.HCLK_R1[8]
72 -CLK_HROW:MUX.HCLK_L1[16]CLK_HROW:MUX.HCLK_R1[16]
73 -CLK_HROW:MUX.HCLK_L1[18]CLK_HROW:MUX.HCLK_R1[18]
74 -CLK_HROW:MUX.HCLK_L1[17]CLK_HROW:MUX.HCLK_R1[17]
75 -CLK_HROW:MUX.HCLK_L1[3]CLK_HROW:MUX.HCLK_R1[3]
76 -CLK_HROW:MUX.HCLK_L1[4]CLK_HROW:MUX.HCLK_R1[4]
77 -CLK_HROW:MUX.HCLK_L1[5]CLK_HROW:MUX.HCLK_R1[5]
78 -CLK_HROW:MUX.HCLK_L1[6]CLK_HROW:MUX.HCLK_R1[6]
79 -CLK_HROW:MUX.HCLK_L1[7]CLK_HROW:MUX.HCLK_R1[7]
CLK_HROW bittile 1
RowColumn
012
0 CLK_HROW:BUF.GCLK31CLK_HROW:MUX.HCLK_L0[15]CLK_HROW:MUX.HCLK_R0[15]
1 CLK_HROW:BUF.GCLK30CLK_HROW:MUX.HCLK_L0[0]CLK_HROW:MUX.HCLK_R0[0]
2 CLK_HROW:BUF.GCLK20CLK_HROW:MUX.HCLK_L0[14]CLK_HROW:MUX.HCLK_R0[14]
3 CLK_HROW:BUF.GCLK21CLK_HROW:MUX.HCLK_L0[13]CLK_HROW:MUX.HCLK_R0[13]
4 CLK_HROW:BUF.GCLK22CLK_HROW:MUX.HCLK_L0[1]CLK_HROW:MUX.HCLK_R0[1]
5 CLK_HROW:BUF.GCLK15CLK_HROW:MUX.HCLK_L0[2]CLK_HROW:MUX.HCLK_R0[2]
6 CLK_HROW:BUF.GCLK14CLK_HROW:MUX.HCLK_L0[12]CLK_HROW:MUX.HCLK_R0[12]
7 CLK_HROW:BUF.GCLK13CLK_HROW:MUX.HCLK_L0[11]CLK_HROW:MUX.HCLK_R0[11]
8 CLK_HROW:BUF.GCLK12CLK_HROW:MUX.HCLK_L0[10]CLK_HROW:MUX.HCLK_R0[10]
9 CLK_HROW:BUF.GCLK23CLK_HROW:MUX.HCLK_L0[9]CLK_HROW:MUX.HCLK_R0[9]
10 CLK_HROW:MUX.HCLK_R0[19]--
11 CLK_HROW:MUX.HCLK_L0[19]CLK_HROW:MUX.HCLK_L0[8]CLK_HROW:MUX.HCLK_R0[8]
12 -CLK_HROW:MUX.HCLK_L0[16]CLK_HROW:MUX.HCLK_R0[16]
13 -CLK_HROW:MUX.HCLK_L0[18]CLK_HROW:MUX.HCLK_R0[18]
14 -CLK_HROW:MUX.HCLK_L0[17]CLK_HROW:MUX.HCLK_R0[17]
15 -CLK_HROW:MUX.HCLK_L0[3]CLK_HROW:MUX.HCLK_R0[3]
16 -CLK_HROW:MUX.HCLK_L0[4]CLK_HROW:MUX.HCLK_R0[4]
17 -CLK_HROW:MUX.HCLK_L0[5]CLK_HROW:MUX.HCLK_R0[5]
18 -CLK_HROW:MUX.HCLK_L0[6]CLK_HROW:MUX.HCLK_R0[6]
19 -CLK_HROW:MUX.HCLK_L0[7]CLK_HROW:MUX.HCLK_R0[7]
20 -CLK_HROW:MUX.HCLK_L2[15]CLK_HROW:MUX.HCLK_R2[15]
21 -CLK_HROW:MUX.HCLK_L2[0]CLK_HROW:MUX.HCLK_R2[0]
22 -CLK_HROW:MUX.HCLK_L2[14]CLK_HROW:MUX.HCLK_R2[14]
23 -CLK_HROW:MUX.HCLK_L2[13]CLK_HROW:MUX.HCLK_R2[13]
24 -CLK_HROW:MUX.HCLK_L2[1]CLK_HROW:MUX.HCLK_R2[1]
25 -CLK_HROW:MUX.HCLK_L2[2]CLK_HROW:MUX.HCLK_R2[2]
26 -CLK_HROW:MUX.HCLK_L2[12]CLK_HROW:MUX.HCLK_R2[12]
27 -CLK_HROW:MUX.HCLK_L2[11]CLK_HROW:MUX.HCLK_R2[11]
28 -CLK_HROW:MUX.HCLK_L2[10]CLK_HROW:MUX.HCLK_R2[10]
29 -CLK_HROW:MUX.HCLK_L2[9]CLK_HROW:MUX.HCLK_R2[9]
30 CLK_HROW:MUX.HCLK_R2[19]--
31 CLK_HROW:MUX.HCLK_L2[19]CLK_HROW:MUX.HCLK_L2[8]CLK_HROW:MUX.HCLK_R2[8]
32 -CLK_HROW:MUX.HCLK_L2[16]CLK_HROW:MUX.HCLK_R2[16]
33 -CLK_HROW:MUX.HCLK_L2[18]CLK_HROW:MUX.HCLK_R2[18]
34 -CLK_HROW:MUX.HCLK_L2[17]CLK_HROW:MUX.HCLK_R2[17]
35 -CLK_HROW:MUX.HCLK_L2[3]CLK_HROW:MUX.HCLK_R2[3]
36 -CLK_HROW:MUX.HCLK_L2[4]CLK_HROW:MUX.HCLK_R2[4]
37 -CLK_HROW:MUX.HCLK_L2[5]CLK_HROW:MUX.HCLK_R2[5]
38 -CLK_HROW:MUX.HCLK_L2[6]CLK_HROW:MUX.HCLK_R2[6]
39 -CLK_HROW:MUX.HCLK_L2[7]CLK_HROW:MUX.HCLK_R2[7]
40 -CLK_HROW:MUX.HCLK_L4[15]CLK_HROW:MUX.HCLK_R4[15]
41 -CLK_HROW:MUX.HCLK_L4[0]CLK_HROW:MUX.HCLK_R4[0]
42 -CLK_HROW:MUX.HCLK_L4[14]CLK_HROW:MUX.HCLK_R4[14]
43 -CLK_HROW:MUX.HCLK_L4[13]CLK_HROW:MUX.HCLK_R4[13]
44 -CLK_HROW:MUX.HCLK_L4[1]CLK_HROW:MUX.HCLK_R4[1]
45 -CLK_HROW:MUX.HCLK_L4[2]CLK_HROW:MUX.HCLK_R4[2]
46 -CLK_HROW:MUX.HCLK_L4[12]CLK_HROW:MUX.HCLK_R4[12]
47 -CLK_HROW:MUX.HCLK_L4[11]CLK_HROW:MUX.HCLK_R4[11]
48 -CLK_HROW:MUX.HCLK_L4[10]CLK_HROW:MUX.HCLK_R4[10]
49 -CLK_HROW:MUX.HCLK_L4[9]CLK_HROW:MUX.HCLK_R4[9]
50 CLK_HROW:MUX.HCLK_R4[19]--
51 CLK_HROW:MUX.HCLK_L4[19]CLK_HROW:MUX.HCLK_L4[8]CLK_HROW:MUX.HCLK_R4[8]
52 -CLK_HROW:MUX.HCLK_L4[16]CLK_HROW:MUX.HCLK_R4[16]
53 -CLK_HROW:MUX.HCLK_L4[18]CLK_HROW:MUX.HCLK_R4[18]
54 -CLK_HROW:MUX.HCLK_L4[17]CLK_HROW:MUX.HCLK_R4[17]
55 -CLK_HROW:MUX.HCLK_L4[3]CLK_HROW:MUX.HCLK_R4[3]
56 -CLK_HROW:MUX.HCLK_L4[4]CLK_HROW:MUX.HCLK_R4[4]
57 -CLK_HROW:MUX.HCLK_L4[5]CLK_HROW:MUX.HCLK_R4[5]
58 -CLK_HROW:MUX.HCLK_L4[6]CLK_HROW:MUX.HCLK_R4[6]
59 -CLK_HROW:MUX.HCLK_L4[7]CLK_HROW:MUX.HCLK_R4[7]
60 -CLK_HROW:MUX.HCLK_L6[15]CLK_HROW:MUX.HCLK_R6[15]
61 -CLK_HROW:MUX.HCLK_L6[0]CLK_HROW:MUX.HCLK_R6[0]
62 -CLK_HROW:MUX.HCLK_L6[14]CLK_HROW:MUX.HCLK_R6[14]
63 -CLK_HROW:MUX.HCLK_L6[13]CLK_HROW:MUX.HCLK_R6[13]
64 -CLK_HROW:MUX.HCLK_L6[1]CLK_HROW:MUX.HCLK_R6[1]
65 -CLK_HROW:MUX.HCLK_L6[2]CLK_HROW:MUX.HCLK_R6[2]
66 -CLK_HROW:MUX.HCLK_L6[12]CLK_HROW:MUX.HCLK_R6[12]
67 -CLK_HROW:MUX.HCLK_L6[11]CLK_HROW:MUX.HCLK_R6[11]
68 -CLK_HROW:MUX.HCLK_L6[10]CLK_HROW:MUX.HCLK_R6[10]
69 -CLK_HROW:MUX.HCLK_L6[9]CLK_HROW:MUX.HCLK_R6[9]
70 CLK_HROW:MUX.HCLK_R6[19]--
71 CLK_HROW:MUX.HCLK_L6[19]CLK_HROW:MUX.HCLK_L6[8]CLK_HROW:MUX.HCLK_R6[8]
72 -CLK_HROW:MUX.HCLK_L6[16]CLK_HROW:MUX.HCLK_R6[16]
73 -CLK_HROW:MUX.HCLK_L6[18]CLK_HROW:MUX.HCLK_R6[18]
74 -CLK_HROW:MUX.HCLK_L6[17]CLK_HROW:MUX.HCLK_R6[17]
75 -CLK_HROW:MUX.HCLK_L6[3]CLK_HROW:MUX.HCLK_R6[3]
76 -CLK_HROW:MUX.HCLK_L6[4]CLK_HROW:MUX.HCLK_R6[4]
77 -CLK_HROW:MUX.HCLK_L6[5]CLK_HROW:MUX.HCLK_R6[5]
78 -CLK_HROW:MUX.HCLK_L6[6]CLK_HROW:MUX.HCLK_R6[6]
79 -CLK_HROW:MUX.HCLK_L6[7]CLK_HROW:MUX.HCLK_R6[7]
CLK_HROW bittile 2
RowColumn
012
0 ---
1 ---
2 ---
3 ---
4 ---
5 ---
6 ---
7 ---
8 ---
9 ---
10 ---
11 ---
12 CLK_HROW:BUF.GCLK0CLK_HROW:BUF.GCLK1CLK_HROW:BUF.GCLK26
13 CLK_HROW:BUF.GCLK2CLK_HROW:BUF.GCLK3CLK_HROW:BUF.GCLK27
14 CLK_HROW:BUF.GCLK4CLK_HROW:BUF.GCLK5CLK_HROW:BUF.GCLK28
15 CLK_HROW:BUF.GCLK6CLK_HROW:BUF.GCLK7CLK_HROW:BUF.GCLK29
CLK_HROW:BUF.GCLK0[2, 0, 12]
CLK_HROW:BUF.GCLK1[2, 1, 12]
CLK_HROW:BUF.GCLK10[0, 0, 7]
CLK_HROW:BUF.GCLK11[0, 0, 8]
CLK_HROW:BUF.GCLK12[1, 0, 8]
CLK_HROW:BUF.GCLK13[1, 0, 7]
CLK_HROW:BUF.GCLK14[1, 0, 6]
CLK_HROW:BUF.GCLK15[1, 0, 5]
CLK_HROW:BUF.GCLK16[0, 0, 9]
CLK_HROW:BUF.GCLK17[0, 0, 4]
CLK_HROW:BUF.GCLK18[0, 0, 3]
CLK_HROW:BUF.GCLK19[0, 0, 2]
CLK_HROW:BUF.GCLK2[2, 0, 13]
CLK_HROW:BUF.GCLK20[1, 0, 2]
CLK_HROW:BUF.GCLK21[1, 0, 3]
CLK_HROW:BUF.GCLK22[1, 0, 4]
CLK_HROW:BUF.GCLK23[1, 0, 9]
CLK_HROW:BUF.GCLK24[0, 0, 0]
CLK_HROW:BUF.GCLK25[0, 0, 1]
CLK_HROW:BUF.GCLK26[2, 2, 12]
CLK_HROW:BUF.GCLK27[2, 2, 13]
CLK_HROW:BUF.GCLK28[2, 2, 14]
CLK_HROW:BUF.GCLK29[2, 2, 15]
CLK_HROW:BUF.GCLK3[2, 1, 13]
CLK_HROW:BUF.GCLK30[1, 0, 1]
CLK_HROW:BUF.GCLK31[1, 0, 0]
CLK_HROW:BUF.GCLK4[2, 0, 14]
CLK_HROW:BUF.GCLK5[2, 1, 14]
CLK_HROW:BUF.GCLK6[2, 0, 15]
CLK_HROW:BUF.GCLK7[2, 1, 15]
CLK_HROW:BUF.GCLK8[0, 0, 5]
CLK_HROW:BUF.GCLK9[0, 0, 6]
Non-inverted[0]
CLK_HROW:MUX.HCLK_L0[1, 0, 11][1, 1, 13][1, 1, 14][1, 1, 12][1, 1, 0][1, 1, 2][1, 1, 3][1, 1, 6][1, 1, 7][1, 1, 8][1, 1, 9][1, 1, 11][1, 1, 19][1, 1, 18][1, 1, 17][1, 1, 16][1, 1, 15][1, 1, 5][1, 1, 4][1, 1, 1]
CLK_HROW:MUX.HCLK_L1[0, 0, 71][0, 1, 73][0, 1, 74][0, 1, 72][0, 1, 60][0, 1, 62][0, 1, 63][0, 1, 66][0, 1, 67][0, 1, 68][0, 1, 69][0, 1, 71][0, 1, 79][0, 1, 78][0, 1, 77][0, 1, 76][0, 1, 75][0, 1, 65][0, 1, 64][0, 1, 61]
CLK_HROW:MUX.HCLK_L2[1, 0, 31][1, 1, 33][1, 1, 34][1, 1, 32][1, 1, 20][1, 1, 22][1, 1, 23][1, 1, 26][1, 1, 27][1, 1, 28][1, 1, 29][1, 1, 31][1, 1, 39][1, 1, 38][1, 1, 37][1, 1, 36][1, 1, 35][1, 1, 25][1, 1, 24][1, 1, 21]
CLK_HROW:MUX.HCLK_L3[0, 0, 51][0, 1, 53][0, 1, 54][0, 1, 52][0, 1, 40][0, 1, 42][0, 1, 43][0, 1, 46][0, 1, 47][0, 1, 48][0, 1, 49][0, 1, 51][0, 1, 59][0, 1, 58][0, 1, 57][0, 1, 56][0, 1, 55][0, 1, 45][0, 1, 44][0, 1, 41]
CLK_HROW:MUX.HCLK_L4[1, 0, 51][1, 1, 53][1, 1, 54][1, 1, 52][1, 1, 40][1, 1, 42][1, 1, 43][1, 1, 46][1, 1, 47][1, 1, 48][1, 1, 49][1, 1, 51][1, 1, 59][1, 1, 58][1, 1, 57][1, 1, 56][1, 1, 55][1, 1, 45][1, 1, 44][1, 1, 41]
CLK_HROW:MUX.HCLK_L5[0, 0, 31][0, 1, 33][0, 1, 34][0, 1, 32][0, 1, 20][0, 1, 22][0, 1, 23][0, 1, 26][0, 1, 27][0, 1, 28][0, 1, 29][0, 1, 31][0, 1, 39][0, 1, 38][0, 1, 37][0, 1, 36][0, 1, 35][0, 1, 25][0, 1, 24][0, 1, 21]
CLK_HROW:MUX.HCLK_L6[1, 0, 71][1, 1, 73][1, 1, 74][1, 1, 72][1, 1, 60][1, 1, 62][1, 1, 63][1, 1, 66][1, 1, 67][1, 1, 68][1, 1, 69][1, 1, 71][1, 1, 79][1, 1, 78][1, 1, 77][1, 1, 76][1, 1, 75][1, 1, 65][1, 1, 64][1, 1, 61]
CLK_HROW:MUX.HCLK_L7[0, 0, 11][0, 1, 13][0, 1, 14][0, 1, 12][0, 1, 0][0, 1, 2][0, 1, 3][0, 1, 6][0, 1, 7][0, 1, 8][0, 1, 9][0, 1, 11][0, 1, 19][0, 1, 18][0, 1, 17][0, 1, 16][0, 1, 15][0, 1, 5][0, 1, 4][0, 1, 1]
CLK_HROW:MUX.HCLK_R0[1, 0, 10][1, 2, 13][1, 2, 14][1, 2, 12][1, 2, 0][1, 2, 2][1, 2, 3][1, 2, 6][1, 2, 7][1, 2, 8][1, 2, 9][1, 2, 11][1, 2, 19][1, 2, 18][1, 2, 17][1, 2, 16][1, 2, 15][1, 2, 5][1, 2, 4][1, 2, 1]
CLK_HROW:MUX.HCLK_R1[0, 0, 70][0, 2, 73][0, 2, 74][0, 2, 72][0, 2, 60][0, 2, 62][0, 2, 63][0, 2, 66][0, 2, 67][0, 2, 68][0, 2, 69][0, 2, 71][0, 2, 79][0, 2, 78][0, 2, 77][0, 2, 76][0, 2, 75][0, 2, 65][0, 2, 64][0, 2, 61]
CLK_HROW:MUX.HCLK_R2[1, 0, 30][1, 2, 33][1, 2, 34][1, 2, 32][1, 2, 20][1, 2, 22][1, 2, 23][1, 2, 26][1, 2, 27][1, 2, 28][1, 2, 29][1, 2, 31][1, 2, 39][1, 2, 38][1, 2, 37][1, 2, 36][1, 2, 35][1, 2, 25][1, 2, 24][1, 2, 21]
CLK_HROW:MUX.HCLK_R3[0, 0, 50][0, 2, 53][0, 2, 54][0, 2, 52][0, 2, 40][0, 2, 42][0, 2, 43][0, 2, 46][0, 2, 47][0, 2, 48][0, 2, 49][0, 2, 51][0, 2, 59][0, 2, 58][0, 2, 57][0, 2, 56][0, 2, 55][0, 2, 45][0, 2, 44][0, 2, 41]
CLK_HROW:MUX.HCLK_R4[1, 0, 50][1, 2, 53][1, 2, 54][1, 2, 52][1, 2, 40][1, 2, 42][1, 2, 43][1, 2, 46][1, 2, 47][1, 2, 48][1, 2, 49][1, 2, 51][1, 2, 59][1, 2, 58][1, 2, 57][1, 2, 56][1, 2, 55][1, 2, 45][1, 2, 44][1, 2, 41]
CLK_HROW:MUX.HCLK_R5[0, 0, 30][0, 2, 33][0, 2, 34][0, 2, 32][0, 2, 20][0, 2, 22][0, 2, 23][0, 2, 26][0, 2, 27][0, 2, 28][0, 2, 29][0, 2, 31][0, 2, 39][0, 2, 38][0, 2, 37][0, 2, 36][0, 2, 35][0, 2, 25][0, 2, 24][0, 2, 21]
CLK_HROW:MUX.HCLK_R6[1, 0, 70][1, 2, 73][1, 2, 74][1, 2, 72][1, 2, 60][1, 2, 62][1, 2, 63][1, 2, 66][1, 2, 67][1, 2, 68][1, 2, 69][1, 2, 71][1, 2, 79][1, 2, 78][1, 2, 77][1, 2, 76][1, 2, 75][1, 2, 65][1, 2, 64][1, 2, 61]
CLK_HROW:MUX.HCLK_R7[0, 0, 10][0, 2, 13][0, 2, 14][0, 2, 12][0, 2, 0][0, 2, 2][0, 2, 3][0, 2, 6][0, 2, 7][0, 2, 8][0, 2, 9][0, 2, 11][0, 2, 19][0, 2, 18][0, 2, 17][0, 2, 16][0, 2, 15][0, 2, 5][0, 2, 4][0, 2, 1]
NONE00000000000000000000
GCLK000010000000111111111
GCLK100010000001011111111
GCLK200010000010011111111
GCLK300010000100011111111
GCLK400010001000011111111
GCLK500010010000011111111
GCLK600010100000011111111
GCLK700011000000011111111
GCLK800100000000111111111
GCLK900100000001011111111
GCLK1000100000010011111111
GCLK1100100000100011111111
GCLK1200100001000011111111
GCLK1300100010000011111111
GCLK1400100100000011111111
GCLK1500101000000011111111
GCLK1601000000000111111111
GCLK1701000000001011111111
GCLK1801000000010011111111
GCLK1901000000100011111111
GCLK2001000001000011111111
GCLK2101000010000011111111
GCLK2201000100000011111111
GCLK2301001000000011111111
GCLK2410000000000111111111
GCLK2510000000001011111111
GCLK2610000000010011111111
GCLK2710000000100011111111
GCLK2810000001000011111111
GCLK2910000010000011111111
GCLK3010000100000011111111
GCLK3110001000000011111111

HCLK

HCLK bittile 0
RowColumn
0123456789101112131415161718
0 -------------------
1 -------------------
2 -------------------
3 -------------------
4 -------------------
5 -------------------
6 -------------------
7 -------------------
8 -------------------
9 -------------------
10 -------------------
11 -------------------
12 ---------HCLK:BUF.HCLK3---HCLK:BUF.HCLK7-----
13 ---------HCLK:BUF.HCLK2---HCLK:BUF.HCLK6-----
14 ---------HCLK:BUF.HCLK1---HCLK:BUF.HCLK5----HCLK:BUF.RCLK0
15 ---------HCLK:BUF.HCLK0---HCLK:BUF.HCLK4----HCLK:BUF.RCLK1
HCLK:BUF.HCLK0[0, 9, 15]
HCLK:BUF.HCLK1[0, 9, 14]
HCLK:BUF.HCLK2[0, 9, 13]
HCLK:BUF.HCLK3[0, 9, 12]
HCLK:BUF.HCLK4[0, 13, 15]
HCLK:BUF.HCLK5[0, 13, 14]
HCLK:BUF.HCLK6[0, 13, 13]
HCLK:BUF.HCLK7[0, 13, 12]
HCLK:BUF.RCLK0[0, 18, 14]
HCLK:BUF.RCLK1[0, 18, 15]
Non-inverted[0]

Spine clock terminators

CLK_TERM_B

CLK_TERM_B bittile 0
RowColumn
0
0 -
1 -
2 -
3 -
4 -
5 -
6 -
7 -
8 -
9 -
10 -
11 -
12 CLK_TERM:GIOB_ENABLE
13 -
14 -
15 -
16 -
17 -
18 -
19 -
20 -
21 -
22 -
23 -
24 -
25 -
26 -
27 -
28 -
29 -
30 -
31 -
32 -
33 -
34 -
35 -
36 -
37 -
38 -
39 -
40 -
41 -
42 -
43 -
44 -
45 -
46 -
47 -
48 -
49 -
50 -
51 -
52 -
53 -
54 -
55 -
56 -
57 -
58 -
59 -
60 -
61 -
62 -
63 -
64 -
65 -
66 -
67 -
68 -
69 -
70 -
71 -
72 -
73 -
74 -
75 -
76 -
77 -
78 -
79 CLK_TERM:GCLK_ENABLE
CLK_TERM:GCLK_ENABLE[0, 0, 79]
CLK_TERM:GIOB_ENABLE[0, 0, 12]
Non-inverted[0]

CLK_TERM_T

CLK_TERM_T bittile 0
RowColumn
0
0 -
1 -
2 -
3 -
4 -
5 -
6 -
7 -
8 -
9 -
10 -
11 -
12 CLK_TERM:GIOB_ENABLE
13 -
14 -
15 -
16 -
17 -
18 -
19 -
20 -
21 -
22 -
23 -
24 -
25 -
26 -
27 -
28 -
29 -
30 -
31 -
32 -
33 -
34 -
35 -
36 -
37 -
38 -
39 -
40 -
41 -
42 -
43 -
44 -
45 -
46 -
47 -
48 -
49 -
50 -
51 -
52 -
53 -
54 -
55 -
56 -
57 -
58 -
59 -
60 -
61 -
62 -
63 -
64 -
65 -
66 -
67 -
68 -
69 -
70 -
71 -
72 -
73 -
74 -
75 -
76 -
77 -
78 -
79 CLK_TERM:GCLK_ENABLE
CLK_TERM:GCLK_ENABLE[0, 0, 79]
CLK_TERM:GIOB_ENABLE[0, 0, 12]
Non-inverted[0]

Spine muxes — IOB

CLK_IOB_B

CLK_IOB_B bittile 0
RowColumn
CLK_IOB_B bittile 1
RowColumn
012
0 ---
1 ---
2 ---
3 ---
4 ---
5 ---
6 ---
7 ---
8 ---
9 ---
10 ---
11 CLK_IOB:BUF.GIOB0[0]-CLK_IOB:BUF.GIOB2[0]
12 ---
13 ---
14 CLK_IOB:BUF.GIOB0[1]-CLK_IOB:BUF.GIOB2[1]
15 CLK_IOB:BUF.GIOB0[2]-CLK_IOB:BUF.GIOB2[2]
16 CLK_IOB:BUF.GIOB0[3]-CLK_IOB:BUF.GIOB2[3]
17 ---
18 CLK_IOB:BUF.GIOB0[4]-CLK_IOB:BUF.GIOB2[4]
19 ---
20 ---
21 ---
22 ---
23 ---
24 ---
25 ---
26 ---
27 ---
28 ---
29 ---
30 ---
31 CLK_IOB:BUF.GIOB1[0]-CLK_IOB:BUF.GIOB3[0]
32 ---
33 ---
34 CLK_IOB:BUF.GIOB1[1]-CLK_IOB:BUF.GIOB3[1]
35 CLK_IOB:BUF.GIOB1[2]-CLK_IOB:BUF.GIOB3[2]
36 CLK_IOB:BUF.GIOB1[3]-CLK_IOB:BUF.GIOB3[3]
37 ---
38 CLK_IOB:BUF.GIOB1[4]-CLK_IOB:BUF.GIOB3[4]
39 ---
40 ---
41 ---
42 ---
43 ---
44 ---
45 ---
46 ---
47 ---
48 ---
49 ---
50 ---
51 CLK_IOB:BUF.GIOB4[0]-CLK_IOB:BUF.GIOB6[0]
52 ---
53 ---
54 CLK_IOB:BUF.GIOB4[1]-CLK_IOB:BUF.GIOB6[1]
55 CLK_IOB:BUF.GIOB4[2]-CLK_IOB:BUF.GIOB6[2]
56 CLK_IOB:BUF.GIOB4[3]-CLK_IOB:BUF.GIOB6[3]
57 ---
58 CLK_IOB:BUF.GIOB4[4]-CLK_IOB:BUF.GIOB6[4]
59 ---
60 ---
61 ---
62 ---
63 ---
64 ---
65 ---
66 ---
67 ---
68 ---
69 ---
70 ---
71 CLK_IOB:BUF.GIOB5[0]-CLK_IOB:BUF.GIOB7[0]
72 ---
73 ---
74 CLK_IOB:BUF.GIOB5[1]-CLK_IOB:BUF.GIOB7[1]
75 CLK_IOB:BUF.GIOB5[2]-CLK_IOB:BUF.GIOB7[2]
76 CLK_IOB:BUF.GIOB5[3]-CLK_IOB:BUF.GIOB7[3]
77 ---
78 CLK_IOB:BUF.GIOB5[4]-CLK_IOB:BUF.GIOB7[4]
CLK_IOB_B bittile 2
RowColumn
012
0 ---
1 ---
2 ---
3 ---
4 ---
5 ---
6 ---
7 ---
8 ---
9 ---
10 ---
11 CLK_IOB:BUF.GIOB8[0]-CLK_IOB:BUF.GIOB10[0]
12 ---
13 ---
14 CLK_IOB:BUF.GIOB8[1]-CLK_IOB:BUF.GIOB10[1]
15 CLK_IOB:BUF.GIOB8[2]-CLK_IOB:BUF.GIOB10[2]
16 CLK_IOB:BUF.GIOB8[3]-CLK_IOB:BUF.GIOB10[3]
17 ---
18 CLK_IOB:BUF.GIOB8[4]-CLK_IOB:BUF.GIOB10[4]
19 ---
20 ---
21 ---
22 ---
23 ---
24 ---
25 ---
26 ---
27 ---
28 ---
29 ---
30 ---
31 CLK_IOB:BUF.GIOB9[0]-CLK_IOB:BUF.GIOB11[0]
32 ---
33 ---
34 CLK_IOB:BUF.GIOB9[1]-CLK_IOB:BUF.GIOB11[1]
35 CLK_IOB:BUF.GIOB9[2]-CLK_IOB:BUF.GIOB11[2]
36 CLK_IOB:BUF.GIOB9[3]-CLK_IOB:BUF.GIOB11[3]
37 ---
38 CLK_IOB:BUF.GIOB9[4]-CLK_IOB:BUF.GIOB11[4]
39 ---
40 ---
41 ---
42 ---
43 ---
44 ---
45 ---
46 ---
47 ---
48 ---
49 ---
50 ---
51 CLK_IOB:BUF.GIOB12[0]-CLK_IOB:BUF.GIOB14[0]
52 ---
53 ---
54 CLK_IOB:BUF.GIOB12[1]-CLK_IOB:BUF.GIOB14[1]
55 CLK_IOB:BUF.GIOB12[2]-CLK_IOB:BUF.GIOB14[2]
56 CLK_IOB:BUF.GIOB12[3]-CLK_IOB:BUF.GIOB14[3]
57 ---
58 CLK_IOB:BUF.GIOB12[4]-CLK_IOB:BUF.GIOB14[4]
59 ---
60 ---
61 ---
62 ---
63 ---
64 ---
65 ---
66 ---
67 ---
68 ---
69 ---
70 ---
71 CLK_IOB:BUF.GIOB13[0]-CLK_IOB:BUF.GIOB15[0]
72 ---
73 ---
74 CLK_IOB:BUF.GIOB13[1]-CLK_IOB:BUF.GIOB15[1]
75 CLK_IOB:BUF.GIOB13[2]-CLK_IOB:BUF.GIOB15[2]
76 CLK_IOB:BUF.GIOB13[3]-CLK_IOB:BUF.GIOB15[3]
77 ---
78 CLK_IOB:BUF.GIOB13[4]-CLK_IOB:BUF.GIOB15[4]
CLK_IOB_B bittile 3
RowColumn
CLK_IOB_B bittile 4
RowColumn
CLK_IOB_B bittile 5
RowColumn
CLK_IOB_B bittile 6
RowColumn
CLK_IOB_B bittile 7
RowColumn
CLK_IOB_B bittile 8
RowColumn
CLK_IOB_B bittile 9
RowColumn
CLK_IOB_B bittile 10
RowColumn
CLK_IOB_B bittile 11
RowColumn
012
0 CLK_IOB:MUX.MUXBUS0[9]-CLK_IOB:MUX.MUXBUS1[9]
1 ---
2 CLK_IOB:MUX.MUXBUS0[0]-CLK_IOB:MUX.MUXBUS1[0]
3 ---
4 CLK_IOB:MUX.MUXBUS0[14]-CLK_IOB:MUX.MUXBUS1[14]
5 CLK_IOB:MUX.MUXBUS0[1]-CLK_IOB:MUX.MUXBUS1[1]
6 CLK_IOB:MUX.MUXBUS0[2]-CLK_IOB:MUX.MUXBUS1[2]
7 CLK_IOB:MUX.MUXBUS0[3]-CLK_IOB:MUX.MUXBUS1[3]
8 ---
9 ---
10 CLK_IOB:MUX.MUXBUS0[4]-CLK_IOB:MUX.MUXBUS1[4]
11 ---
12 CLK_IOB:MUX.MUXBUS1[6]-CLK_IOB:MUX.MUXBUS1[7]
13 CLK_IOB:MUX.MUXBUS0[6]-CLK_IOB:MUX.MUXBUS0[7]
14 CLK_IOB:MUX.MUXBUS0[5]-CLK_IOB:MUX.MUXBUS1[8]
15 CLK_IOB:MUX.MUXBUS1[5]-CLK_IOB:MUX.MUXBUS0[8]
16 CLK_IOB:MUX.MUXBUS0[10]-CLK_IOB:MUX.MUXBUS1[10]
17 CLK_IOB:MUX.MUXBUS0[11]-CLK_IOB:MUX.MUXBUS1[11]
18 CLK_IOB:MUX.MUXBUS0[12]-CLK_IOB:MUX.MUXBUS1[12]
19 CLK_IOB:MUX.MUXBUS0[13]-CLK_IOB:MUX.MUXBUS1[13]
20 CLK_IOB:MUX.MUXBUS2[9]-CLK_IOB:MUX.MUXBUS3[9]
21 ---
22 CLK_IOB:MUX.MUXBUS2[0]-CLK_IOB:MUX.MUXBUS3[0]
23 ---
24 CLK_IOB:MUX.MUXBUS2[14]-CLK_IOB:MUX.MUXBUS3[14]
25 CLK_IOB:MUX.MUXBUS2[1]-CLK_IOB:MUX.MUXBUS3[1]
26 CLK_IOB:MUX.MUXBUS2[2]-CLK_IOB:MUX.MUXBUS3[2]
27 CLK_IOB:MUX.MUXBUS2[3]-CLK_IOB:MUX.MUXBUS3[3]
28 ---
29 ---
30 CLK_IOB:MUX.MUXBUS2[4]-CLK_IOB:MUX.MUXBUS3[4]
31 ---
32 CLK_IOB:MUX.MUXBUS3[6]-CLK_IOB:MUX.MUXBUS3[7]
33 CLK_IOB:MUX.MUXBUS2[6]-CLK_IOB:MUX.MUXBUS2[7]
34 CLK_IOB:MUX.MUXBUS2[5]-CLK_IOB:MUX.MUXBUS3[8]
35 CLK_IOB:MUX.MUXBUS3[5]-CLK_IOB:MUX.MUXBUS2[8]
36 CLK_IOB:MUX.MUXBUS2[10]-CLK_IOB:MUX.MUXBUS3[10]
37 CLK_IOB:MUX.MUXBUS2[11]-CLK_IOB:MUX.MUXBUS3[11]
38 CLK_IOB:MUX.MUXBUS2[12]-CLK_IOB:MUX.MUXBUS3[12]
39 CLK_IOB:MUX.MUXBUS2[13]-CLK_IOB:MUX.MUXBUS3[13]
40 CLK_IOB:MUX.MUXBUS4[9]-CLK_IOB:MUX.MUXBUS5[9]
41 ---
42 CLK_IOB:MUX.MUXBUS4[0]-CLK_IOB:MUX.MUXBUS5[0]
43 ---
44 CLK_IOB:MUX.MUXBUS4[14]-CLK_IOB:MUX.MUXBUS5[14]
45 CLK_IOB:MUX.MUXBUS4[1]-CLK_IOB:MUX.MUXBUS5[1]
46 CLK_IOB:MUX.MUXBUS4[2]-CLK_IOB:MUX.MUXBUS5[2]
47 CLK_IOB:MUX.MUXBUS4[3]-CLK_IOB:MUX.MUXBUS5[3]
48 ---
49 ---
50 CLK_IOB:MUX.MUXBUS4[4]-CLK_IOB:MUX.MUXBUS5[4]
51 ---
52 CLK_IOB:MUX.MUXBUS5[6]-CLK_IOB:MUX.MUXBUS5[7]
53 CLK_IOB:MUX.MUXBUS4[6]-CLK_IOB:MUX.MUXBUS4[7]
54 CLK_IOB:MUX.MUXBUS4[5]-CLK_IOB:MUX.MUXBUS5[8]
55 CLK_IOB:MUX.MUXBUS5[5]-CLK_IOB:MUX.MUXBUS4[8]
56 CLK_IOB:MUX.MUXBUS4[10]-CLK_IOB:MUX.MUXBUS5[10]
57 CLK_IOB:MUX.MUXBUS4[11]-CLK_IOB:MUX.MUXBUS5[11]
58 CLK_IOB:MUX.MUXBUS4[12]-CLK_IOB:MUX.MUXBUS5[12]
59 CLK_IOB:MUX.MUXBUS4[13]-CLK_IOB:MUX.MUXBUS5[13]
60 CLK_IOB:MUX.MUXBUS6[9]-CLK_IOB:MUX.MUXBUS7[9]
61 ---
62 CLK_IOB:MUX.MUXBUS6[0]-CLK_IOB:MUX.MUXBUS7[0]
63 ---
64 CLK_IOB:MUX.MUXBUS6[14]-CLK_IOB:MUX.MUXBUS7[14]
65 CLK_IOB:MUX.MUXBUS6[1]-CLK_IOB:MUX.MUXBUS7[1]
66 CLK_IOB:MUX.MUXBUS6[2]-CLK_IOB:MUX.MUXBUS7[2]
67 CLK_IOB:MUX.MUXBUS6[3]-CLK_IOB:MUX.MUXBUS7[3]
68 ---
69 ---
70 CLK_IOB:MUX.MUXBUS6[4]-CLK_IOB:MUX.MUXBUS7[4]
71 ---
72 CLK_IOB:MUX.MUXBUS7[6]-CLK_IOB:MUX.MUXBUS7[7]
73 CLK_IOB:MUX.MUXBUS6[6]-CLK_IOB:MUX.MUXBUS6[7]
74 CLK_IOB:MUX.MUXBUS6[5]-CLK_IOB:MUX.MUXBUS7[8]
75 CLK_IOB:MUX.MUXBUS7[5]-CLK_IOB:MUX.MUXBUS6[8]
76 CLK_IOB:MUX.MUXBUS6[10]-CLK_IOB:MUX.MUXBUS7[10]
77 CLK_IOB:MUX.MUXBUS6[11]-CLK_IOB:MUX.MUXBUS7[11]
78 CLK_IOB:MUX.MUXBUS6[12]-CLK_IOB:MUX.MUXBUS7[12]
79 CLK_IOB:MUX.MUXBUS6[13]-CLK_IOB:MUX.MUXBUS7[13]
CLK_IOB_B bittile 12
RowColumn
012
0 CLK_IOB:MUX.MUXBUS8[9]-CLK_IOB:MUX.MUXBUS9[9]
1 ---
2 CLK_IOB:MUX.MUXBUS8[0]-CLK_IOB:MUX.MUXBUS9[0]
3 ---
4 CLK_IOB:MUX.MUXBUS8[14]-CLK_IOB:MUX.MUXBUS9[14]
5 CLK_IOB:MUX.MUXBUS8[1]-CLK_IOB:MUX.MUXBUS9[1]
6 CLK_IOB:MUX.MUXBUS8[2]-CLK_IOB:MUX.MUXBUS9[2]
7 CLK_IOB:MUX.MUXBUS8[3]-CLK_IOB:MUX.MUXBUS9[3]
8 ---
9 ---
10 CLK_IOB:MUX.MUXBUS8[4]-CLK_IOB:MUX.MUXBUS9[4]
11 ---
12 CLK_IOB:MUX.MUXBUS9[6]-CLK_IOB:MUX.MUXBUS9[7]
13 CLK_IOB:MUX.MUXBUS8[6]-CLK_IOB:MUX.MUXBUS8[7]
14 CLK_IOB:MUX.MUXBUS8[5]-CLK_IOB:MUX.MUXBUS9[8]
15 CLK_IOB:MUX.MUXBUS9[5]-CLK_IOB:MUX.MUXBUS8[8]
16 CLK_IOB:MUX.MUXBUS8[10]-CLK_IOB:MUX.MUXBUS9[10]
17 CLK_IOB:MUX.MUXBUS8[11]-CLK_IOB:MUX.MUXBUS9[11]
18 CLK_IOB:MUX.MUXBUS8[12]-CLK_IOB:MUX.MUXBUS9[12]
19 CLK_IOB:MUX.MUXBUS8[13]-CLK_IOB:MUX.MUXBUS9[13]
20 CLK_IOB:MUX.MUXBUS10[9]-CLK_IOB:MUX.MUXBUS11[9]
21 ---
22 CLK_IOB:MUX.MUXBUS10[0]-CLK_IOB:MUX.MUXBUS11[0]
23 ---
24 CLK_IOB:MUX.MUXBUS10[14]-CLK_IOB:MUX.MUXBUS11[14]
25 CLK_IOB:MUX.MUXBUS10[1]-CLK_IOB:MUX.MUXBUS11[1]
26 CLK_IOB:MUX.MUXBUS10[2]-CLK_IOB:MUX.MUXBUS11[2]
27 CLK_IOB:MUX.MUXBUS10[3]-CLK_IOB:MUX.MUXBUS11[3]
28 ---
29 ---
30 CLK_IOB:MUX.MUXBUS10[4]-CLK_IOB:MUX.MUXBUS11[4]
31 ---
32 CLK_IOB:MUX.MUXBUS11[6]-CLK_IOB:MUX.MUXBUS11[7]
33 CLK_IOB:MUX.MUXBUS10[6]-CLK_IOB:MUX.MUXBUS10[7]
34 CLK_IOB:MUX.MUXBUS10[5]-CLK_IOB:MUX.MUXBUS11[8]
35 CLK_IOB:MUX.MUXBUS11[5]-CLK_IOB:MUX.MUXBUS10[8]
36 CLK_IOB:MUX.MUXBUS10[10]-CLK_IOB:MUX.MUXBUS11[10]
37 CLK_IOB:MUX.MUXBUS10[11]-CLK_IOB:MUX.MUXBUS11[11]
38 CLK_IOB:MUX.MUXBUS10[12]-CLK_IOB:MUX.MUXBUS11[12]
39 CLK_IOB:MUX.MUXBUS10[13]-CLK_IOB:MUX.MUXBUS11[13]
40 CLK_IOB:MUX.MUXBUS12[9]-CLK_IOB:MUX.MUXBUS13[9]
41 ---
42 CLK_IOB:MUX.MUXBUS12[0]-CLK_IOB:MUX.MUXBUS13[0]
43 ---
44 CLK_IOB:MUX.MUXBUS12[14]-CLK_IOB:MUX.MUXBUS13[14]
45 CLK_IOB:MUX.MUXBUS12[1]-CLK_IOB:MUX.MUXBUS13[1]
46 CLK_IOB:MUX.MUXBUS12[2]-CLK_IOB:MUX.MUXBUS13[2]
47 CLK_IOB:MUX.MUXBUS12[3]-CLK_IOB:MUX.MUXBUS13[3]
48 ---
49 ---
50 CLK_IOB:MUX.MUXBUS12[4]-CLK_IOB:MUX.MUXBUS13[4]
51 ---
52 CLK_IOB:MUX.MUXBUS13[6]-CLK_IOB:MUX.MUXBUS13[7]
53 CLK_IOB:MUX.MUXBUS12[6]-CLK_IOB:MUX.MUXBUS12[7]
54 CLK_IOB:MUX.MUXBUS12[5]-CLK_IOB:MUX.MUXBUS13[8]
55 CLK_IOB:MUX.MUXBUS13[5]-CLK_IOB:MUX.MUXBUS12[8]
56 CLK_IOB:MUX.MUXBUS12[10]-CLK_IOB:MUX.MUXBUS13[10]
57 CLK_IOB:MUX.MUXBUS12[11]-CLK_IOB:MUX.MUXBUS13[11]
58 CLK_IOB:MUX.MUXBUS12[12]-CLK_IOB:MUX.MUXBUS13[12]
59 CLK_IOB:MUX.MUXBUS12[13]-CLK_IOB:MUX.MUXBUS13[13]
60 CLK_IOB:MUX.MUXBUS14[9]-CLK_IOB:MUX.MUXBUS15[9]
61 ---
62 CLK_IOB:MUX.MUXBUS14[0]-CLK_IOB:MUX.MUXBUS15[0]
63 ---
64 CLK_IOB:MUX.MUXBUS14[14]-CLK_IOB:MUX.MUXBUS15[14]
65 CLK_IOB:MUX.MUXBUS14[1]-CLK_IOB:MUX.MUXBUS15[1]
66 CLK_IOB:MUX.MUXBUS14[2]-CLK_IOB:MUX.MUXBUS15[2]
67 CLK_IOB:MUX.MUXBUS14[3]-CLK_IOB:MUX.MUXBUS15[3]
68 ---
69 ---
70 CLK_IOB:MUX.MUXBUS14[4]-CLK_IOB:MUX.MUXBUS15[4]
71 ---
72 CLK_IOB:MUX.MUXBUS15[6]-CLK_IOB:MUX.MUXBUS15[7]
73 CLK_IOB:MUX.MUXBUS14[6]-CLK_IOB:MUX.MUXBUS14[7]
74 CLK_IOB:MUX.MUXBUS14[5]-CLK_IOB:MUX.MUXBUS15[8]
75 CLK_IOB:MUX.MUXBUS15[5]-CLK_IOB:MUX.MUXBUS14[8]
76 CLK_IOB:MUX.MUXBUS14[10]-CLK_IOB:MUX.MUXBUS15[10]
77 CLK_IOB:MUX.MUXBUS14[11]-CLK_IOB:MUX.MUXBUS15[11]
78 CLK_IOB:MUX.MUXBUS14[12]-CLK_IOB:MUX.MUXBUS15[12]
79 CLK_IOB:MUX.MUXBUS14[13]-CLK_IOB:MUX.MUXBUS15[13]
CLK_IOB_B bittile 13
RowColumn
012
0 CLK_IOB:MUX.MUXBUS16[9]-CLK_IOB:MUX.MUXBUS17[9]
1 ---
2 CLK_IOB:MUX.MUXBUS16[0]-CLK_IOB:MUX.MUXBUS17[0]
3 ---
4 CLK_IOB:MUX.MUXBUS16[14]-CLK_IOB:MUX.MUXBUS17[14]
5 CLK_IOB:MUX.MUXBUS16[1]-CLK_IOB:MUX.MUXBUS17[1]
6 CLK_IOB:MUX.MUXBUS16[2]-CLK_IOB:MUX.MUXBUS17[2]
7 CLK_IOB:MUX.MUXBUS16[3]-CLK_IOB:MUX.MUXBUS17[3]
8 ---
9 ---
10 CLK_IOB:MUX.MUXBUS16[4]-CLK_IOB:MUX.MUXBUS17[4]
11 ---
12 CLK_IOB:MUX.MUXBUS17[6]-CLK_IOB:MUX.MUXBUS17[7]
13 CLK_IOB:MUX.MUXBUS16[6]-CLK_IOB:MUX.MUXBUS16[7]
14 CLK_IOB:MUX.MUXBUS16[5]-CLK_IOB:MUX.MUXBUS17[8]
15 CLK_IOB:MUX.MUXBUS17[5]-CLK_IOB:MUX.MUXBUS16[8]
16 CLK_IOB:MUX.MUXBUS16[10]-CLK_IOB:MUX.MUXBUS17[10]
17 CLK_IOB:MUX.MUXBUS16[11]-CLK_IOB:MUX.MUXBUS17[11]
18 CLK_IOB:MUX.MUXBUS16[12]-CLK_IOB:MUX.MUXBUS17[12]
19 CLK_IOB:MUX.MUXBUS16[13]-CLK_IOB:MUX.MUXBUS17[13]
20 CLK_IOB:MUX.MUXBUS18[9]-CLK_IOB:MUX.MUXBUS19[9]
21 ---
22 CLK_IOB:MUX.MUXBUS18[0]-CLK_IOB:MUX.MUXBUS19[0]
23 ---
24 CLK_IOB:MUX.MUXBUS18[14]-CLK_IOB:MUX.MUXBUS19[14]
25 CLK_IOB:MUX.MUXBUS18[1]-CLK_IOB:MUX.MUXBUS19[1]
26 CLK_IOB:MUX.MUXBUS18[2]-CLK_IOB:MUX.MUXBUS19[2]
27 CLK_IOB:MUX.MUXBUS18[3]-CLK_IOB:MUX.MUXBUS19[3]
28 ---
29 ---
30 CLK_IOB:MUX.MUXBUS18[4]-CLK_IOB:MUX.MUXBUS19[4]
31 ---
32 CLK_IOB:MUX.MUXBUS19[6]-CLK_IOB:MUX.MUXBUS19[7]
33 CLK_IOB:MUX.MUXBUS18[6]-CLK_IOB:MUX.MUXBUS18[7]
34 CLK_IOB:MUX.MUXBUS18[5]-CLK_IOB:MUX.MUXBUS19[8]
35 CLK_IOB:MUX.MUXBUS19[5]-CLK_IOB:MUX.MUXBUS18[8]
36 CLK_IOB:MUX.MUXBUS18[10]-CLK_IOB:MUX.MUXBUS19[10]
37 CLK_IOB:MUX.MUXBUS18[11]-CLK_IOB:MUX.MUXBUS19[11]
38 CLK_IOB:MUX.MUXBUS18[12]-CLK_IOB:MUX.MUXBUS19[12]
39 CLK_IOB:MUX.MUXBUS18[13]-CLK_IOB:MUX.MUXBUS19[13]
40 CLK_IOB:MUX.MUXBUS20[9]-CLK_IOB:MUX.MUXBUS21[9]
41 ---
42 CLK_IOB:MUX.MUXBUS20[0]-CLK_IOB:MUX.MUXBUS21[0]
43 ---
44 CLK_IOB:MUX.MUXBUS20[14]-CLK_IOB:MUX.MUXBUS21[14]
45 CLK_IOB:MUX.MUXBUS20[1]-CLK_IOB:MUX.MUXBUS21[1]
46 CLK_IOB:MUX.MUXBUS20[2]-CLK_IOB:MUX.MUXBUS21[2]
47 CLK_IOB:MUX.MUXBUS20[3]-CLK_IOB:MUX.MUXBUS21[3]
48 ---
49 ---
50 CLK_IOB:MUX.MUXBUS20[4]-CLK_IOB:MUX.MUXBUS21[4]
51 ---
52 CLK_IOB:MUX.MUXBUS21[6]-CLK_IOB:MUX.MUXBUS21[7]
53 CLK_IOB:MUX.MUXBUS20[6]-CLK_IOB:MUX.MUXBUS20[7]
54 CLK_IOB:MUX.MUXBUS20[5]-CLK_IOB:MUX.MUXBUS21[8]
55 CLK_IOB:MUX.MUXBUS21[5]-CLK_IOB:MUX.MUXBUS20[8]
56 CLK_IOB:MUX.MUXBUS20[10]-CLK_IOB:MUX.MUXBUS21[10]
57 CLK_IOB:MUX.MUXBUS20[11]-CLK_IOB:MUX.MUXBUS21[11]
58 CLK_IOB:MUX.MUXBUS20[12]-CLK_IOB:MUX.MUXBUS21[12]
59 CLK_IOB:MUX.MUXBUS20[13]-CLK_IOB:MUX.MUXBUS21[13]
60 CLK_IOB:MUX.MUXBUS22[9]-CLK_IOB:MUX.MUXBUS23[9]
61 ---
62 CLK_IOB:MUX.MUXBUS22[0]-CLK_IOB:MUX.MUXBUS23[0]
63 ---
64 CLK_IOB:MUX.MUXBUS22[14]-CLK_IOB:MUX.MUXBUS23[14]
65 CLK_IOB:MUX.MUXBUS22[1]-CLK_IOB:MUX.MUXBUS23[1]
66 CLK_IOB:MUX.MUXBUS22[2]-CLK_IOB:MUX.MUXBUS23[2]
67 CLK_IOB:MUX.MUXBUS22[3]-CLK_IOB:MUX.MUXBUS23[3]
68 ---
69 ---
70 CLK_IOB:MUX.MUXBUS22[4]-CLK_IOB:MUX.MUXBUS23[4]
71 ---
72 CLK_IOB:MUX.MUXBUS23[6]-CLK_IOB:MUX.MUXBUS23[7]
73 CLK_IOB:MUX.MUXBUS22[6]-CLK_IOB:MUX.MUXBUS22[7]
74 CLK_IOB:MUX.MUXBUS22[5]-CLK_IOB:MUX.MUXBUS23[8]
75 CLK_IOB:MUX.MUXBUS23[5]-CLK_IOB:MUX.MUXBUS22[8]
76 CLK_IOB:MUX.MUXBUS22[10]-CLK_IOB:MUX.MUXBUS23[10]
77 CLK_IOB:MUX.MUXBUS22[11]-CLK_IOB:MUX.MUXBUS23[11]
78 CLK_IOB:MUX.MUXBUS22[12]-CLK_IOB:MUX.MUXBUS23[12]
79 CLK_IOB:MUX.MUXBUS22[13]-CLK_IOB:MUX.MUXBUS23[13]
CLK_IOB_B bittile 14
RowColumn
012
0 CLK_IOB:MUX.MUXBUS24[9]-CLK_IOB:MUX.MUXBUS25[9]
1 ---
2 CLK_IOB:MUX.MUXBUS24[0]-CLK_IOB:MUX.MUXBUS25[0]
3 ---
4 CLK_IOB:MUX.MUXBUS24[14]-CLK_IOB:MUX.MUXBUS25[14]
5 CLK_IOB:MUX.MUXBUS24[1]-CLK_IOB:MUX.MUXBUS25[1]
6 CLK_IOB:MUX.MUXBUS24[2]-CLK_IOB:MUX.MUXBUS25[2]
7 CLK_IOB:MUX.MUXBUS24[3]-CLK_IOB:MUX.MUXBUS25[3]
8 ---
9 ---
10 CLK_IOB:MUX.MUXBUS24[4]-CLK_IOB:MUX.MUXBUS25[4]
11 ---
12 CLK_IOB:MUX.MUXBUS25[6]-CLK_IOB:MUX.MUXBUS25[7]
13 CLK_IOB:MUX.MUXBUS24[6]-CLK_IOB:MUX.MUXBUS24[7]
14 CLK_IOB:MUX.MUXBUS24[5]-CLK_IOB:MUX.MUXBUS25[8]
15 CLK_IOB:MUX.MUXBUS25[5]-CLK_IOB:MUX.MUXBUS24[8]
16 CLK_IOB:MUX.MUXBUS24[10]-CLK_IOB:MUX.MUXBUS25[10]
17 CLK_IOB:MUX.MUXBUS24[11]-CLK_IOB:MUX.MUXBUS25[11]
18 CLK_IOB:MUX.MUXBUS24[12]-CLK_IOB:MUX.MUXBUS25[12]
19 CLK_IOB:MUX.MUXBUS24[13]-CLK_IOB:MUX.MUXBUS25[13]
20 CLK_IOB:MUX.MUXBUS26[9]-CLK_IOB:MUX.MUXBUS27[9]
21 ---
22 CLK_IOB:MUX.MUXBUS26[0]-CLK_IOB:MUX.MUXBUS27[0]
23 ---
24 CLK_IOB:MUX.MUXBUS26[14]-CLK_IOB:MUX.MUXBUS27[14]
25 CLK_IOB:MUX.MUXBUS26[1]-CLK_IOB:MUX.MUXBUS27[1]
26 CLK_IOB:MUX.MUXBUS26[2]-CLK_IOB:MUX.MUXBUS27[2]
27 CLK_IOB:MUX.MUXBUS26[3]-CLK_IOB:MUX.MUXBUS27[3]
28 ---
29 ---
30 CLK_IOB:MUX.MUXBUS26[4]-CLK_IOB:MUX.MUXBUS27[4]
31 ---
32 CLK_IOB:MUX.MUXBUS27[6]-CLK_IOB:MUX.MUXBUS27[7]
33 CLK_IOB:MUX.MUXBUS26[6]-CLK_IOB:MUX.MUXBUS26[7]
34 CLK_IOB:MUX.MUXBUS26[5]-CLK_IOB:MUX.MUXBUS27[8]
35 CLK_IOB:MUX.MUXBUS27[5]-CLK_IOB:MUX.MUXBUS26[8]
36 CLK_IOB:MUX.MUXBUS26[10]-CLK_IOB:MUX.MUXBUS27[10]
37 CLK_IOB:MUX.MUXBUS26[11]-CLK_IOB:MUX.MUXBUS27[11]
38 CLK_IOB:MUX.MUXBUS26[12]-CLK_IOB:MUX.MUXBUS27[12]
39 CLK_IOB:MUX.MUXBUS26[13]-CLK_IOB:MUX.MUXBUS27[13]
40 CLK_IOB:MUX.MUXBUS28[9]-CLK_IOB:MUX.MUXBUS29[9]
41 ---
42 CLK_IOB:MUX.MUXBUS28[0]-CLK_IOB:MUX.MUXBUS29[0]
43 ---
44 CLK_IOB:MUX.MUXBUS28[14]-CLK_IOB:MUX.MUXBUS29[14]
45 CLK_IOB:MUX.MUXBUS28[1]-CLK_IOB:MUX.MUXBUS29[1]
46 CLK_IOB:MUX.MUXBUS28[2]-CLK_IOB:MUX.MUXBUS29[2]
47 CLK_IOB:MUX.MUXBUS28[3]-CLK_IOB:MUX.MUXBUS29[3]
48 ---
49 ---
50 CLK_IOB:MUX.MUXBUS28[4]-CLK_IOB:MUX.MUXBUS29[4]
51 ---
52 CLK_IOB:MUX.MUXBUS29[6]-CLK_IOB:MUX.MUXBUS29[7]
53 CLK_IOB:MUX.MUXBUS28[6]-CLK_IOB:MUX.MUXBUS28[7]
54 CLK_IOB:MUX.MUXBUS28[5]-CLK_IOB:MUX.MUXBUS29[8]
55 CLK_IOB:MUX.MUXBUS29[5]-CLK_IOB:MUX.MUXBUS28[8]
56 CLK_IOB:MUX.MUXBUS28[10]-CLK_IOB:MUX.MUXBUS29[10]
57 CLK_IOB:MUX.MUXBUS28[11]-CLK_IOB:MUX.MUXBUS29[11]
58 CLK_IOB:MUX.MUXBUS28[12]-CLK_IOB:MUX.MUXBUS29[12]
59 CLK_IOB:MUX.MUXBUS28[13]-CLK_IOB:MUX.MUXBUS29[13]
60 CLK_IOB:MUX.MUXBUS30[9]-CLK_IOB:MUX.MUXBUS31[9]
61 ---
62 CLK_IOB:MUX.MUXBUS30[0]-CLK_IOB:MUX.MUXBUS31[0]
63 ---
64 CLK_IOB:MUX.MUXBUS30[14]-CLK_IOB:MUX.MUXBUS31[14]
65 CLK_IOB:MUX.MUXBUS30[1]-CLK_IOB:MUX.MUXBUS31[1]
66 CLK_IOB:MUX.MUXBUS30[2]-CLK_IOB:MUX.MUXBUS31[2]
67 CLK_IOB:MUX.MUXBUS30[3]-CLK_IOB:MUX.MUXBUS31[3]
68 ---
69 ---
70 CLK_IOB:MUX.MUXBUS30[4]-CLK_IOB:MUX.MUXBUS31[4]
71 ---
72 CLK_IOB:MUX.MUXBUS31[6]-CLK_IOB:MUX.MUXBUS31[7]
73 CLK_IOB:MUX.MUXBUS30[6]-CLK_IOB:MUX.MUXBUS30[7]
74 CLK_IOB:MUX.MUXBUS30[5]-CLK_IOB:MUX.MUXBUS31[8]
75 CLK_IOB:MUX.MUXBUS31[5]-CLK_IOB:MUX.MUXBUS30[8]
76 CLK_IOB:MUX.MUXBUS30[10]-CLK_IOB:MUX.MUXBUS31[10]
77 CLK_IOB:MUX.MUXBUS30[11]-CLK_IOB:MUX.MUXBUS31[11]
78 CLK_IOB:MUX.MUXBUS30[12]-CLK_IOB:MUX.MUXBUS31[12]
79 CLK_IOB:MUX.MUXBUS30[13]-CLK_IOB:MUX.MUXBUS31[13]
CLK_IOB:BUF.GIOB0[1, 0, 18][1, 0, 16][1, 0, 15][1, 0, 14][1, 0, 11]
CLK_IOB:BUF.GIOB1[1, 0, 38][1, 0, 36][1, 0, 35][1, 0, 34][1, 0, 31]
CLK_IOB:BUF.GIOB10[2, 2, 18][2, 2, 16][2, 2, 15][2, 2, 14][2, 2, 11]
CLK_IOB:BUF.GIOB11[2, 2, 38][2, 2, 36][2, 2, 35][2, 2, 34][2, 2, 31]
CLK_IOB:BUF.GIOB12[2, 0, 58][2, 0, 56][2, 0, 55][2, 0, 54][2, 0, 51]
CLK_IOB:BUF.GIOB13[2, 0, 78][2, 0, 76][2, 0, 75][2, 0, 74][2, 0, 71]
CLK_IOB:BUF.GIOB14[2, 2, 58][2, 2, 56][2, 2, 55][2, 2, 54][2, 2, 51]
CLK_IOB:BUF.GIOB15[2, 2, 78][2, 2, 76][2, 2, 75][2, 2, 74][2, 2, 71]
CLK_IOB:BUF.GIOB2[1, 2, 18][1, 2, 16][1, 2, 15][1, 2, 14][1, 2, 11]
CLK_IOB:BUF.GIOB3[1, 2, 38][1, 2, 36][1, 2, 35][1, 2, 34][1, 2, 31]
CLK_IOB:BUF.GIOB4[1, 0, 58][1, 0, 56][1, 0, 55][1, 0, 54][1, 0, 51]
CLK_IOB:BUF.GIOB5[1, 0, 78][1, 0, 76][1, 0, 75][1, 0, 74][1, 0, 71]
CLK_IOB:BUF.GIOB6[1, 2, 58][1, 2, 56][1, 2, 55][1, 2, 54][1, 2, 51]
CLK_IOB:BUF.GIOB7[1, 2, 78][1, 2, 76][1, 2, 75][1, 2, 74][1, 2, 71]
CLK_IOB:BUF.GIOB8[2, 0, 18][2, 0, 16][2, 0, 15][2, 0, 14][2, 0, 11]
CLK_IOB:BUF.GIOB9[2, 0, 38][2, 0, 36][2, 0, 35][2, 0, 34][2, 0, 31]
Non-inverted[4][3][2][1][0]
CLK_IOB:MUX.MUXBUS0[11, 0, 4][11, 0, 19][11, 0, 18][11, 0, 17][11, 0, 16][11, 0, 0][11, 2, 15][11, 2, 13][11, 0, 13][11, 0, 14][11, 0, 10][11, 0, 7][11, 0, 6][11, 0, 5][11, 0, 2]
CLK_IOB:MUX.MUXBUS1[11, 2, 4][11, 2, 19][11, 2, 18][11, 2, 17][11, 2, 16][11, 2, 0][11, 2, 14][11, 2, 12][11, 0, 12][11, 0, 15][11, 2, 10][11, 2, 7][11, 2, 6][11, 2, 5][11, 2, 2]
CLK_IOB:MUX.MUXBUS10[12, 0, 24][12, 0, 39][12, 0, 38][12, 0, 37][12, 0, 36][12, 0, 20][12, 2, 35][12, 2, 33][12, 0, 33][12, 0, 34][12, 0, 30][12, 0, 27][12, 0, 26][12, 0, 25][12, 0, 22]
CLK_IOB:MUX.MUXBUS11[12, 2, 24][12, 2, 39][12, 2, 38][12, 2, 37][12, 2, 36][12, 2, 20][12, 2, 34][12, 2, 32][12, 0, 32][12, 0, 35][12, 2, 30][12, 2, 27][12, 2, 26][12, 2, 25][12, 2, 22]
CLK_IOB:MUX.MUXBUS12[12, 0, 44][12, 0, 59][12, 0, 58][12, 0, 57][12, 0, 56][12, 0, 40][12, 2, 55][12, 2, 53][12, 0, 53][12, 0, 54][12, 0, 50][12, 0, 47][12, 0, 46][12, 0, 45][12, 0, 42]
CLK_IOB:MUX.MUXBUS13[12, 2, 44][12, 2, 59][12, 2, 58][12, 2, 57][12, 2, 56][12, 2, 40][12, 2, 54][12, 2, 52][12, 0, 52][12, 0, 55][12, 2, 50][12, 2, 47][12, 2, 46][12, 2, 45][12, 2, 42]
CLK_IOB:MUX.MUXBUS14[12, 0, 64][12, 0, 79][12, 0, 78][12, 0, 77][12, 0, 76][12, 0, 60][12, 2, 75][12, 2, 73][12, 0, 73][12, 0, 74][12, 0, 70][12, 0, 67][12, 0, 66][12, 0, 65][12, 0, 62]
CLK_IOB:MUX.MUXBUS15[12, 2, 64][12, 2, 79][12, 2, 78][12, 2, 77][12, 2, 76][12, 2, 60][12, 2, 74][12, 2, 72][12, 0, 72][12, 0, 75][12, 2, 70][12, 2, 67][12, 2, 66][12, 2, 65][12, 2, 62]
CLK_IOB:MUX.MUXBUS16[13, 0, 4][13, 0, 19][13, 0, 18][13, 0, 17][13, 0, 16][13, 0, 0][13, 2, 15][13, 2, 13][13, 0, 13][13, 0, 14][13, 0, 10][13, 0, 7][13, 0, 6][13, 0, 5][13, 0, 2]
CLK_IOB:MUX.MUXBUS17[13, 2, 4][13, 2, 19][13, 2, 18][13, 2, 17][13, 2, 16][13, 2, 0][13, 2, 14][13, 2, 12][13, 0, 12][13, 0, 15][13, 2, 10][13, 2, 7][13, 2, 6][13, 2, 5][13, 2, 2]
CLK_IOB:MUX.MUXBUS18[13, 0, 24][13, 0, 39][13, 0, 38][13, 0, 37][13, 0, 36][13, 0, 20][13, 2, 35][13, 2, 33][13, 0, 33][13, 0, 34][13, 0, 30][13, 0, 27][13, 0, 26][13, 0, 25][13, 0, 22]
CLK_IOB:MUX.MUXBUS19[13, 2, 24][13, 2, 39][13, 2, 38][13, 2, 37][13, 2, 36][13, 2, 20][13, 2, 34][13, 2, 32][13, 0, 32][13, 0, 35][13, 2, 30][13, 2, 27][13, 2, 26][13, 2, 25][13, 2, 22]
CLK_IOB:MUX.MUXBUS2[11, 0, 24][11, 0, 39][11, 0, 38][11, 0, 37][11, 0, 36][11, 0, 20][11, 2, 35][11, 2, 33][11, 0, 33][11, 0, 34][11, 0, 30][11, 0, 27][11, 0, 26][11, 0, 25][11, 0, 22]
CLK_IOB:MUX.MUXBUS20[13, 0, 44][13, 0, 59][13, 0, 58][13, 0, 57][13, 0, 56][13, 0, 40][13, 2, 55][13, 2, 53][13, 0, 53][13, 0, 54][13, 0, 50][13, 0, 47][13, 0, 46][13, 0, 45][13, 0, 42]
CLK_IOB:MUX.MUXBUS21[13, 2, 44][13, 2, 59][13, 2, 58][13, 2, 57][13, 2, 56][13, 2, 40][13, 2, 54][13, 2, 52][13, 0, 52][13, 0, 55][13, 2, 50][13, 2, 47][13, 2, 46][13, 2, 45][13, 2, 42]
CLK_IOB:MUX.MUXBUS22[13, 0, 64][13, 0, 79][13, 0, 78][13, 0, 77][13, 0, 76][13, 0, 60][13, 2, 75][13, 2, 73][13, 0, 73][13, 0, 74][13, 0, 70][13, 0, 67][13, 0, 66][13, 0, 65][13, 0, 62]
CLK_IOB:MUX.MUXBUS23[13, 2, 64][13, 2, 79][13, 2, 78][13, 2, 77][13, 2, 76][13, 2, 60][13, 2, 74][13, 2, 72][13, 0, 72][13, 0, 75][13, 2, 70][13, 2, 67][13, 2, 66][13, 2, 65][13, 2, 62]
CLK_IOB:MUX.MUXBUS24[14, 0, 4][14, 0, 19][14, 0, 18][14, 0, 17][14, 0, 16][14, 0, 0][14, 2, 15][14, 2, 13][14, 0, 13][14, 0, 14][14, 0, 10][14, 0, 7][14, 0, 6][14, 0, 5][14, 0, 2]
CLK_IOB:MUX.MUXBUS25[14, 2, 4][14, 2, 19][14, 2, 18][14, 2, 17][14, 2, 16][14, 2, 0][14, 2, 14][14, 2, 12][14, 0, 12][14, 0, 15][14, 2, 10][14, 2, 7][14, 2, 6][14, 2, 5][14, 2, 2]
CLK_IOB:MUX.MUXBUS26[14, 0, 24][14, 0, 39][14, 0, 38][14, 0, 37][14, 0, 36][14, 0, 20][14, 2, 35][14, 2, 33][14, 0, 33][14, 0, 34][14, 0, 30][14, 0, 27][14, 0, 26][14, 0, 25][14, 0, 22]
CLK_IOB:MUX.MUXBUS27[14, 2, 24][14, 2, 39][14, 2, 38][14, 2, 37][14, 2, 36][14, 2, 20][14, 2, 34][14, 2, 32][14, 0, 32][14, 0, 35][14, 2, 30][14, 2, 27][14, 2, 26][14, 2, 25][14, 2, 22]
CLK_IOB:MUX.MUXBUS28[14, 0, 44][14, 0, 59][14, 0, 58][14, 0, 57][14, 0, 56][14, 0, 40][14, 2, 55][14, 2, 53][14, 0, 53][14, 0, 54][14, 0, 50][14, 0, 47][14, 0, 46][14, 0, 45][14, 0, 42]
CLK_IOB:MUX.MUXBUS29[14, 2, 44][14, 2, 59][14, 2, 58][14, 2, 57][14, 2, 56][14, 2, 40][14, 2, 54][14, 2, 52][14, 0, 52][14, 0, 55][14, 2, 50][14, 2, 47][14, 2, 46][14, 2, 45][14, 2, 42]
CLK_IOB:MUX.MUXBUS3[11, 2, 24][11, 2, 39][11, 2, 38][11, 2, 37][11, 2, 36][11, 2, 20][11, 2, 34][11, 2, 32][11, 0, 32][11, 0, 35][11, 2, 30][11, 2, 27][11, 2, 26][11, 2, 25][11, 2, 22]
CLK_IOB:MUX.MUXBUS30[14, 0, 64][14, 0, 79][14, 0, 78][14, 0, 77][14, 0, 76][14, 0, 60][14, 2, 75][14, 2, 73][14, 0, 73][14, 0, 74][14, 0, 70][14, 0, 67][14, 0, 66][14, 0, 65][14, 0, 62]
CLK_IOB:MUX.MUXBUS31[14, 2, 64][14, 2, 79][14, 2, 78][14, 2, 77][14, 2, 76][14, 2, 60][14, 2, 74][14, 2, 72][14, 0, 72][14, 0, 75][14, 2, 70][14, 2, 67][14, 2, 66][14, 2, 65][14, 2, 62]
CLK_IOB:MUX.MUXBUS4[11, 0, 44][11, 0, 59][11, 0, 58][11, 0, 57][11, 0, 56][11, 0, 40][11, 2, 55][11, 2, 53][11, 0, 53][11, 0, 54][11, 0, 50][11, 0, 47][11, 0, 46][11, 0, 45][11, 0, 42]
CLK_IOB:MUX.MUXBUS5[11, 2, 44][11, 2, 59][11, 2, 58][11, 2, 57][11, 2, 56][11, 2, 40][11, 2, 54][11, 2, 52][11, 0, 52][11, 0, 55][11, 2, 50][11, 2, 47][11, 2, 46][11, 2, 45][11, 2, 42]
CLK_IOB:MUX.MUXBUS6[11, 0, 64][11, 0, 79][11, 0, 78][11, 0, 77][11, 0, 76][11, 0, 60][11, 2, 75][11, 2, 73][11, 0, 73][11, 0, 74][11, 0, 70][11, 0, 67][11, 0, 66][11, 0, 65][11, 0, 62]
CLK_IOB:MUX.MUXBUS7[11, 2, 64][11, 2, 79][11, 2, 78][11, 2, 77][11, 2, 76][11, 2, 60][11, 2, 74][11, 2, 72][11, 0, 72][11, 0, 75][11, 2, 70][11, 2, 67][11, 2, 66][11, 2, 65][11, 2, 62]
CLK_IOB:MUX.MUXBUS8[12, 0, 4][12, 0, 19][12, 0, 18][12, 0, 17][12, 0, 16][12, 0, 0][12, 2, 15][12, 2, 13][12, 0, 13][12, 0, 14][12, 0, 10][12, 0, 7][12, 0, 6][12, 0, 5][12, 0, 2]
CLK_IOB:MUX.MUXBUS9[12, 2, 4][12, 2, 19][12, 2, 18][12, 2, 17][12, 2, 16][12, 2, 0][12, 2, 14][12, 2, 12][12, 0, 12][12, 0, 15][12, 2, 10][12, 2, 7][12, 2, 6][12, 2, 5][12, 2, 2]
NONE000000000000000
GIOB0000010000111111
GIOB4000010001011111
GIOB8000010010011111
GIOB12000010100011111
GIOB1000100000111111
GIOB5000100001011111
GIOB9000100010011111
GIOB13000100100011111
GIOB2001000000111111
GIOB6001000001011111
GIOB10001000010011111
GIOB14001000100011111
GIOB3010000000111111
GIOB7010000001011111
GIOB11010000010011111
GIOB15010000100011111
PASS100001000011111

CLK_IOB_T

CLK_IOB_T bittile 0
RowColumn
CLK_IOB_T bittile 1
RowColumn
012
0 CLK_IOB:MUX.MUXBUS30[13]-CLK_IOB:MUX.MUXBUS31[13]
1 CLK_IOB:MUX.MUXBUS30[12]-CLK_IOB:MUX.MUXBUS31[12]
2 CLK_IOB:MUX.MUXBUS30[11]-CLK_IOB:MUX.MUXBUS31[11]
3 CLK_IOB:MUX.MUXBUS30[10]-CLK_IOB:MUX.MUXBUS31[10]
4 CLK_IOB:MUX.MUXBUS31[5]-CLK_IOB:MUX.MUXBUS30[8]
5 CLK_IOB:MUX.MUXBUS30[5]-CLK_IOB:MUX.MUXBUS31[8]
6 CLK_IOB:MUX.MUXBUS30[6]-CLK_IOB:MUX.MUXBUS30[7]
7 CLK_IOB:MUX.MUXBUS31[6]-CLK_IOB:MUX.MUXBUS31[7]
8 ---
9 CLK_IOB:MUX.MUXBUS30[0]-CLK_IOB:MUX.MUXBUS31[0]
10 ---
11 ---
12 CLK_IOB:MUX.MUXBUS30[1]-CLK_IOB:MUX.MUXBUS31[1]
13 CLK_IOB:MUX.MUXBUS30[2]-CLK_IOB:MUX.MUXBUS31[2]
14 CLK_IOB:MUX.MUXBUS30[3]-CLK_IOB:MUX.MUXBUS31[3]
15 CLK_IOB:MUX.MUXBUS30[9]-CLK_IOB:MUX.MUXBUS31[9]
16 ---
17 CLK_IOB:MUX.MUXBUS30[4]-CLK_IOB:MUX.MUXBUS31[4]
18 ---
19 CLK_IOB:MUX.MUXBUS30[14]-CLK_IOB:MUX.MUXBUS31[14]
20 CLK_IOB:MUX.MUXBUS28[13]-CLK_IOB:MUX.MUXBUS29[13]
21 CLK_IOB:MUX.MUXBUS28[12]-CLK_IOB:MUX.MUXBUS29[12]
22 CLK_IOB:MUX.MUXBUS28[11]-CLK_IOB:MUX.MUXBUS29[11]
23 CLK_IOB:MUX.MUXBUS28[10]-CLK_IOB:MUX.MUXBUS29[10]
24 CLK_IOB:MUX.MUXBUS29[5]-CLK_IOB:MUX.MUXBUS28[8]
25 CLK_IOB:MUX.MUXBUS28[5]-CLK_IOB:MUX.MUXBUS29[8]
26 CLK_IOB:MUX.MUXBUS28[6]-CLK_IOB:MUX.MUXBUS28[7]
27 CLK_IOB:MUX.MUXBUS29[6]-CLK_IOB:MUX.MUXBUS29[7]
28 ---
29 CLK_IOB:MUX.MUXBUS28[0]-CLK_IOB:MUX.MUXBUS29[0]
30 ---
31 ---
32 CLK_IOB:MUX.MUXBUS28[1]-CLK_IOB:MUX.MUXBUS29[1]
33 CLK_IOB:MUX.MUXBUS28[2]-CLK_IOB:MUX.MUXBUS29[2]
34 CLK_IOB:MUX.MUXBUS28[3]-CLK_IOB:MUX.MUXBUS29[3]
35 CLK_IOB:MUX.MUXBUS28[9]-CLK_IOB:MUX.MUXBUS29[9]
36 ---
37 CLK_IOB:MUX.MUXBUS28[4]-CLK_IOB:MUX.MUXBUS29[4]
38 ---
39 CLK_IOB:MUX.MUXBUS28[14]-CLK_IOB:MUX.MUXBUS29[14]
40 CLK_IOB:MUX.MUXBUS26[13]-CLK_IOB:MUX.MUXBUS27[13]
41 CLK_IOB:MUX.MUXBUS26[12]-CLK_IOB:MUX.MUXBUS27[12]
42 CLK_IOB:MUX.MUXBUS26[11]-CLK_IOB:MUX.MUXBUS27[11]
43 CLK_IOB:MUX.MUXBUS26[10]-CLK_IOB:MUX.MUXBUS27[10]
44 CLK_IOB:MUX.MUXBUS27[5]-CLK_IOB:MUX.MUXBUS26[8]
45 CLK_IOB:MUX.MUXBUS26[5]-CLK_IOB:MUX.MUXBUS27[8]
46 CLK_IOB:MUX.MUXBUS26[6]-CLK_IOB:MUX.MUXBUS26[7]
47 CLK_IOB:MUX.MUXBUS27[6]-CLK_IOB:MUX.MUXBUS27[7]
48 ---
49 CLK_IOB:MUX.MUXBUS26[0]-CLK_IOB:MUX.MUXBUS27[0]
50 ---
51 ---
52 CLK_IOB:MUX.MUXBUS26[1]-CLK_IOB:MUX.MUXBUS27[1]
53 CLK_IOB:MUX.MUXBUS26[2]-CLK_IOB:MUX.MUXBUS27[2]
54 CLK_IOB:MUX.MUXBUS26[3]-CLK_IOB:MUX.MUXBUS27[3]
55 CLK_IOB:MUX.MUXBUS26[9]-CLK_IOB:MUX.MUXBUS27[9]
56 ---
57 CLK_IOB:MUX.MUXBUS26[4]-CLK_IOB:MUX.MUXBUS27[4]
58 ---
59 CLK_IOB:MUX.MUXBUS26[14]-CLK_IOB:MUX.MUXBUS27[14]
60 CLK_IOB:MUX.MUXBUS24[13]-CLK_IOB:MUX.MUXBUS25[13]
61 CLK_IOB:MUX.MUXBUS24[12]-CLK_IOB:MUX.MUXBUS25[12]
62 CLK_IOB:MUX.MUXBUS24[11]-CLK_IOB:MUX.MUXBUS25[11]
63 CLK_IOB:MUX.MUXBUS24[10]-CLK_IOB:MUX.MUXBUS25[10]
64 CLK_IOB:MUX.MUXBUS25[5]-CLK_IOB:MUX.MUXBUS24[8]
65 CLK_IOB:MUX.MUXBUS24[5]-CLK_IOB:MUX.MUXBUS25[8]
66 CLK_IOB:MUX.MUXBUS24[6]-CLK_IOB:MUX.MUXBUS24[7]
67 CLK_IOB:MUX.MUXBUS25[6]-CLK_IOB:MUX.MUXBUS25[7]
68 ---
69 CLK_IOB:MUX.MUXBUS24[0]-CLK_IOB:MUX.MUXBUS25[0]
70 ---
71 ---
72 CLK_IOB:MUX.MUXBUS24[1]-CLK_IOB:MUX.MUXBUS25[1]
73 CLK_IOB:MUX.MUXBUS24[2]-CLK_IOB:MUX.MUXBUS25[2]
74 CLK_IOB:MUX.MUXBUS24[3]-CLK_IOB:MUX.MUXBUS25[3]
75 CLK_IOB:MUX.MUXBUS24[9]-CLK_IOB:MUX.MUXBUS25[9]
76 ---
77 CLK_IOB:MUX.MUXBUS24[4]-CLK_IOB:MUX.MUXBUS25[4]
78 ---
79 CLK_IOB:MUX.MUXBUS24[14]-CLK_IOB:MUX.MUXBUS25[14]
CLK_IOB_T bittile 2
RowColumn
012
0 CLK_IOB:MUX.MUXBUS22[13]-CLK_IOB:MUX.MUXBUS23[13]
1 CLK_IOB:MUX.MUXBUS22[12]-CLK_IOB:MUX.MUXBUS23[12]
2 CLK_IOB:MUX.MUXBUS22[11]-CLK_IOB:MUX.MUXBUS23[11]
3 CLK_IOB:MUX.MUXBUS22[10]-CLK_IOB:MUX.MUXBUS23[10]
4 CLK_IOB:MUX.MUXBUS23[5]-CLK_IOB:MUX.MUXBUS22[8]
5 CLK_IOB:MUX.MUXBUS22[5]-CLK_IOB:MUX.MUXBUS23[8]
6 CLK_IOB:MUX.MUXBUS22[6]-CLK_IOB:MUX.MUXBUS22[7]
7 CLK_IOB:MUX.MUXBUS23[6]-CLK_IOB:MUX.MUXBUS23[7]
8 ---
9 CLK_IOB:MUX.MUXBUS22[0]-CLK_IOB:MUX.MUXBUS23[0]
10 ---
11 ---
12 CLK_IOB:MUX.MUXBUS22[1]-CLK_IOB:MUX.MUXBUS23[1]
13 CLK_IOB:MUX.MUXBUS22[2]-CLK_IOB:MUX.MUXBUS23[2]
14 CLK_IOB:MUX.MUXBUS22[3]-CLK_IOB:MUX.MUXBUS23[3]
15 CLK_IOB:MUX.MUXBUS22[9]-CLK_IOB:MUX.MUXBUS23[9]
16 ---
17 CLK_IOB:MUX.MUXBUS22[4]-CLK_IOB:MUX.MUXBUS23[4]
18 ---
19 CLK_IOB:MUX.MUXBUS22[14]-CLK_IOB:MUX.MUXBUS23[14]
20 CLK_IOB:MUX.MUXBUS20[13]-CLK_IOB:MUX.MUXBUS21[13]
21 CLK_IOB:MUX.MUXBUS20[12]-CLK_IOB:MUX.MUXBUS21[12]
22 CLK_IOB:MUX.MUXBUS20[11]-CLK_IOB:MUX.MUXBUS21[11]
23 CLK_IOB:MUX.MUXBUS20[10]-CLK_IOB:MUX.MUXBUS21[10]
24 CLK_IOB:MUX.MUXBUS21[5]-CLK_IOB:MUX.MUXBUS20[8]
25 CLK_IOB:MUX.MUXBUS20[5]-CLK_IOB:MUX.MUXBUS21[8]
26 CLK_IOB:MUX.MUXBUS20[6]-CLK_IOB:MUX.MUXBUS20[7]
27 CLK_IOB:MUX.MUXBUS21[6]-CLK_IOB:MUX.MUXBUS21[7]
28 ---
29 CLK_IOB:MUX.MUXBUS20[0]-CLK_IOB:MUX.MUXBUS21[0]
30 ---
31 ---
32 CLK_IOB:MUX.MUXBUS20[1]-CLK_IOB:MUX.MUXBUS21[1]
33 CLK_IOB:MUX.MUXBUS20[2]-CLK_IOB:MUX.MUXBUS21[2]
34 CLK_IOB:MUX.MUXBUS20[3]-CLK_IOB:MUX.MUXBUS21[3]
35 CLK_IOB:MUX.MUXBUS20[9]-CLK_IOB:MUX.MUXBUS21[9]
36 ---
37 CLK_IOB:MUX.MUXBUS20[4]-CLK_IOB:MUX.MUXBUS21[4]
38 ---
39 CLK_IOB:MUX.MUXBUS20[14]-CLK_IOB:MUX.MUXBUS21[14]
40 CLK_IOB:MUX.MUXBUS18[13]-CLK_IOB:MUX.MUXBUS19[13]
41 CLK_IOB:MUX.MUXBUS18[12]-CLK_IOB:MUX.MUXBUS19[12]
42 CLK_IOB:MUX.MUXBUS18[11]-CLK_IOB:MUX.MUXBUS19[11]
43 CLK_IOB:MUX.MUXBUS18[10]-CLK_IOB:MUX.MUXBUS19[10]
44 CLK_IOB:MUX.MUXBUS19[5]-CLK_IOB:MUX.MUXBUS18[8]
45 CLK_IOB:MUX.MUXBUS18[5]-CLK_IOB:MUX.MUXBUS19[8]
46 CLK_IOB:MUX.MUXBUS18[6]-CLK_IOB:MUX.MUXBUS18[7]
47 CLK_IOB:MUX.MUXBUS19[6]-CLK_IOB:MUX.MUXBUS19[7]
48 ---
49 CLK_IOB:MUX.MUXBUS18[0]-CLK_IOB:MUX.MUXBUS19[0]
50 ---
51 ---
52 CLK_IOB:MUX.MUXBUS18[1]-CLK_IOB:MUX.MUXBUS19[1]
53 CLK_IOB:MUX.MUXBUS18[2]-CLK_IOB:MUX.MUXBUS19[2]
54 CLK_IOB:MUX.MUXBUS18[3]-CLK_IOB:MUX.MUXBUS19[3]
55 CLK_IOB:MUX.MUXBUS18[9]-CLK_IOB:MUX.MUXBUS19[9]
56 ---
57 CLK_IOB:MUX.MUXBUS18[4]-CLK_IOB:MUX.MUXBUS19[4]
58 ---
59 CLK_IOB:MUX.MUXBUS18[14]-CLK_IOB:MUX.MUXBUS19[14]
60 CLK_IOB:MUX.MUXBUS16[13]-CLK_IOB:MUX.MUXBUS17[13]
61 CLK_IOB:MUX.MUXBUS16[12]-CLK_IOB:MUX.MUXBUS17[12]
62 CLK_IOB:MUX.MUXBUS16[11]-CLK_IOB:MUX.MUXBUS17[11]
63 CLK_IOB:MUX.MUXBUS16[10]-CLK_IOB:MUX.MUXBUS17[10]
64 CLK_IOB:MUX.MUXBUS17[5]-CLK_IOB:MUX.MUXBUS16[8]
65 CLK_IOB:MUX.MUXBUS16[5]-CLK_IOB:MUX.MUXBUS17[8]
66 CLK_IOB:MUX.MUXBUS16[6]-CLK_IOB:MUX.MUXBUS16[7]
67 CLK_IOB:MUX.MUXBUS17[6]-CLK_IOB:MUX.MUXBUS17[7]
68 ---
69 CLK_IOB:MUX.MUXBUS16[0]-CLK_IOB:MUX.MUXBUS17[0]
70 ---
71 ---
72 CLK_IOB:MUX.MUXBUS16[1]-CLK_IOB:MUX.MUXBUS17[1]
73 CLK_IOB:MUX.MUXBUS16[2]-CLK_IOB:MUX.MUXBUS17[2]
74 CLK_IOB:MUX.MUXBUS16[3]-CLK_IOB:MUX.MUXBUS17[3]
75 CLK_IOB:MUX.MUXBUS16[9]-CLK_IOB:MUX.MUXBUS17[9]
76 ---
77 CLK_IOB:MUX.MUXBUS16[4]-CLK_IOB:MUX.MUXBUS17[4]
78 ---
79 CLK_IOB:MUX.MUXBUS16[14]-CLK_IOB:MUX.MUXBUS17[14]
CLK_IOB_T bittile 3
RowColumn
012
0 CLK_IOB:MUX.MUXBUS14[13]-CLK_IOB:MUX.MUXBUS15[13]
1 CLK_IOB:MUX.MUXBUS14[12]-CLK_IOB:MUX.MUXBUS15[12]
2 CLK_IOB:MUX.MUXBUS14[11]-CLK_IOB:MUX.MUXBUS15[11]
3 CLK_IOB:MUX.MUXBUS14[10]-CLK_IOB:MUX.MUXBUS15[10]
4 CLK_IOB:MUX.MUXBUS15[5]-CLK_IOB:MUX.MUXBUS14[8]
5 CLK_IOB:MUX.MUXBUS14[5]-CLK_IOB:MUX.MUXBUS15[8]
6 CLK_IOB:MUX.MUXBUS14[6]-CLK_IOB:MUX.MUXBUS14[7]
7 CLK_IOB:MUX.MUXBUS15[6]-CLK_IOB:MUX.MUXBUS15[7]
8 ---
9 CLK_IOB:MUX.MUXBUS14[0]-CLK_IOB:MUX.MUXBUS15[0]
10 ---
11 ---
12 CLK_IOB:MUX.MUXBUS14[1]-CLK_IOB:MUX.MUXBUS15[1]
13 CLK_IOB:MUX.MUXBUS14[2]-CLK_IOB:MUX.MUXBUS15[2]
14 CLK_IOB:MUX.MUXBUS14[3]-CLK_IOB:MUX.MUXBUS15[3]
15 CLK_IOB:MUX.MUXBUS14[9]-CLK_IOB:MUX.MUXBUS15[9]
16 ---
17 CLK_IOB:MUX.MUXBUS14[4]-CLK_IOB:MUX.MUXBUS15[4]
18 ---
19 CLK_IOB:MUX.MUXBUS14[14]-CLK_IOB:MUX.MUXBUS15[14]
20 CLK_IOB:MUX.MUXBUS12[13]-CLK_IOB:MUX.MUXBUS13[13]
21 CLK_IOB:MUX.MUXBUS12[12]-CLK_IOB:MUX.MUXBUS13[12]
22 CLK_IOB:MUX.MUXBUS12[11]-CLK_IOB:MUX.MUXBUS13[11]
23 CLK_IOB:MUX.MUXBUS12[10]-CLK_IOB:MUX.MUXBUS13[10]
24 CLK_IOB:MUX.MUXBUS13[5]-CLK_IOB:MUX.MUXBUS12[8]
25 CLK_IOB:MUX.MUXBUS12[5]-CLK_IOB:MUX.MUXBUS13[8]
26 CLK_IOB:MUX.MUXBUS12[6]-CLK_IOB:MUX.MUXBUS12[7]
27 CLK_IOB:MUX.MUXBUS13[6]-CLK_IOB:MUX.MUXBUS13[7]
28 ---
29 CLK_IOB:MUX.MUXBUS12[0]-CLK_IOB:MUX.MUXBUS13[0]
30 ---
31 ---
32 CLK_IOB:MUX.MUXBUS12[1]-CLK_IOB:MUX.MUXBUS13[1]
33 CLK_IOB:MUX.MUXBUS12[2]-CLK_IOB:MUX.MUXBUS13[2]
34 CLK_IOB:MUX.MUXBUS12[3]-CLK_IOB:MUX.MUXBUS13[3]
35 CLK_IOB:MUX.MUXBUS12[9]-CLK_IOB:MUX.MUXBUS13[9]
36 ---
37 CLK_IOB:MUX.MUXBUS12[4]-CLK_IOB:MUX.MUXBUS13[4]
38 ---
39 CLK_IOB:MUX.MUXBUS12[14]-CLK_IOB:MUX.MUXBUS13[14]
40 CLK_IOB:MUX.MUXBUS10[13]-CLK_IOB:MUX.MUXBUS11[13]
41 CLK_IOB:MUX.MUXBUS10[12]-CLK_IOB:MUX.MUXBUS11[12]
42 CLK_IOB:MUX.MUXBUS10[11]-CLK_IOB:MUX.MUXBUS11[11]
43 CLK_IOB:MUX.MUXBUS10[10]-CLK_IOB:MUX.MUXBUS11[10]
44 CLK_IOB:MUX.MUXBUS11[5]-CLK_IOB:MUX.MUXBUS10[8]
45 CLK_IOB:MUX.MUXBUS10[5]-CLK_IOB:MUX.MUXBUS11[8]
46 CLK_IOB:MUX.MUXBUS10[6]-CLK_IOB:MUX.MUXBUS10[7]
47 CLK_IOB:MUX.MUXBUS11[6]-CLK_IOB:MUX.MUXBUS11[7]
48 ---
49 CLK_IOB:MUX.MUXBUS10[0]-CLK_IOB:MUX.MUXBUS11[0]
50 ---
51 ---
52 CLK_IOB:MUX.MUXBUS10[1]-CLK_IOB:MUX.MUXBUS11[1]
53 CLK_IOB:MUX.MUXBUS10[2]-CLK_IOB:MUX.MUXBUS11[2]
54 CLK_IOB:MUX.MUXBUS10[3]-CLK_IOB:MUX.MUXBUS11[3]
55 CLK_IOB:MUX.MUXBUS10[9]-CLK_IOB:MUX.MUXBUS11[9]
56 ---
57 CLK_IOB:MUX.MUXBUS10[4]-CLK_IOB:MUX.MUXBUS11[4]
58 ---
59 CLK_IOB:MUX.MUXBUS10[14]-CLK_IOB:MUX.MUXBUS11[14]
60 CLK_IOB:MUX.MUXBUS8[13]-CLK_IOB:MUX.MUXBUS9[13]
61 CLK_IOB:MUX.MUXBUS8[12]-CLK_IOB:MUX.MUXBUS9[12]
62 CLK_IOB:MUX.MUXBUS8[11]-CLK_IOB:MUX.MUXBUS9[11]
63 CLK_IOB:MUX.MUXBUS8[10]-CLK_IOB:MUX.MUXBUS9[10]
64 CLK_IOB:MUX.MUXBUS9[5]-CLK_IOB:MUX.MUXBUS8[8]
65 CLK_IOB:MUX.MUXBUS8[5]-CLK_IOB:MUX.MUXBUS9[8]
66 CLK_IOB:MUX.MUXBUS8[6]-CLK_IOB:MUX.MUXBUS8[7]
67 CLK_IOB:MUX.MUXBUS9[6]-CLK_IOB:MUX.MUXBUS9[7]
68 ---
69 CLK_IOB:MUX.MUXBUS8[0]-CLK_IOB:MUX.MUXBUS9[0]
70 ---
71 ---
72 CLK_IOB:MUX.MUXBUS8[1]-CLK_IOB:MUX.MUXBUS9[1]
73 CLK_IOB:MUX.MUXBUS8[2]-CLK_IOB:MUX.MUXBUS9[2]
74 CLK_IOB:MUX.MUXBUS8[3]-CLK_IOB:MUX.MUXBUS9[3]
75 CLK_IOB:MUX.MUXBUS8[9]-CLK_IOB:MUX.MUXBUS9[9]
76 ---
77 CLK_IOB:MUX.MUXBUS8[4]-CLK_IOB:MUX.MUXBUS9[4]
78 ---
79 CLK_IOB:MUX.MUXBUS8[14]-CLK_IOB:MUX.MUXBUS9[14]
CLK_IOB_T bittile 4
RowColumn
012
0 CLK_IOB:MUX.MUXBUS6[13]-CLK_IOB:MUX.MUXBUS7[13]
1 CLK_IOB:MUX.MUXBUS6[12]-CLK_IOB:MUX.MUXBUS7[12]
2 CLK_IOB:MUX.MUXBUS6[11]-CLK_IOB:MUX.MUXBUS7[11]
3 CLK_IOB:MUX.MUXBUS6[10]-CLK_IOB:MUX.MUXBUS7[10]
4 CLK_IOB:MUX.MUXBUS7[5]-CLK_IOB:MUX.MUXBUS6[8]
5 CLK_IOB:MUX.MUXBUS6[5]-CLK_IOB:MUX.MUXBUS7[8]
6 CLK_IOB:MUX.MUXBUS6[6]-CLK_IOB:MUX.MUXBUS6[7]
7 CLK_IOB:MUX.MUXBUS7[6]-CLK_IOB:MUX.MUXBUS7[7]
8 ---
9 CLK_IOB:MUX.MUXBUS6[0]-CLK_IOB:MUX.MUXBUS7[0]
10 ---
11 ---
12 CLK_IOB:MUX.MUXBUS6[1]-CLK_IOB:MUX.MUXBUS7[1]
13 CLK_IOB:MUX.MUXBUS6[2]-CLK_IOB:MUX.MUXBUS7[2]
14 CLK_IOB:MUX.MUXBUS6[3]-CLK_IOB:MUX.MUXBUS7[3]
15 CLK_IOB:MUX.MUXBUS6[9]-CLK_IOB:MUX.MUXBUS7[9]
16 ---
17 CLK_IOB:MUX.MUXBUS6[4]-CLK_IOB:MUX.MUXBUS7[4]
18 ---
19 CLK_IOB:MUX.MUXBUS6[14]-CLK_IOB:MUX.MUXBUS7[14]
20 CLK_IOB:MUX.MUXBUS4[13]-CLK_IOB:MUX.MUXBUS5[13]
21 CLK_IOB:MUX.MUXBUS4[12]-CLK_IOB:MUX.MUXBUS5[12]
22 CLK_IOB:MUX.MUXBUS4[11]-CLK_IOB:MUX.MUXBUS5[11]
23 CLK_IOB:MUX.MUXBUS4[10]-CLK_IOB:MUX.MUXBUS5[10]
24 CLK_IOB:MUX.MUXBUS5[5]-CLK_IOB:MUX.MUXBUS4[8]
25 CLK_IOB:MUX.MUXBUS4[5]-CLK_IOB:MUX.MUXBUS5[8]
26 CLK_IOB:MUX.MUXBUS4[6]-CLK_IOB:MUX.MUXBUS4[7]
27 CLK_IOB:MUX.MUXBUS5[6]-CLK_IOB:MUX.MUXBUS5[7]
28 ---
29 CLK_IOB:MUX.MUXBUS4[0]-CLK_IOB:MUX.MUXBUS5[0]
30 ---
31 ---
32 CLK_IOB:MUX.MUXBUS4[1]-CLK_IOB:MUX.MUXBUS5[1]
33 CLK_IOB:MUX.MUXBUS4[2]-CLK_IOB:MUX.MUXBUS5[2]
34 CLK_IOB:MUX.MUXBUS4[3]-CLK_IOB:MUX.MUXBUS5[3]
35 CLK_IOB:MUX.MUXBUS4[9]-CLK_IOB:MUX.MUXBUS5[9]
36 ---
37 CLK_IOB:MUX.MUXBUS4[4]-CLK_IOB:MUX.MUXBUS5[4]
38 ---
39 CLK_IOB:MUX.MUXBUS4[14]-CLK_IOB:MUX.MUXBUS5[14]
40 CLK_IOB:MUX.MUXBUS2[13]-CLK_IOB:MUX.MUXBUS3[13]
41 CLK_IOB:MUX.MUXBUS2[12]-CLK_IOB:MUX.MUXBUS3[12]
42 CLK_IOB:MUX.MUXBUS2[11]-CLK_IOB:MUX.MUXBUS3[11]
43 CLK_IOB:MUX.MUXBUS2[10]-CLK_IOB:MUX.MUXBUS3[10]
44 CLK_IOB:MUX.MUXBUS3[5]-CLK_IOB:MUX.MUXBUS2[8]
45 CLK_IOB:MUX.MUXBUS2[5]-CLK_IOB:MUX.MUXBUS3[8]
46 CLK_IOB:MUX.MUXBUS2[6]-CLK_IOB:MUX.MUXBUS2[7]
47 CLK_IOB:MUX.MUXBUS3[6]-CLK_IOB:MUX.MUXBUS3[7]
48 ---
49 CLK_IOB:MUX.MUXBUS2[0]-CLK_IOB:MUX.MUXBUS3[0]
50 ---
51 ---
52 CLK_IOB:MUX.MUXBUS2[1]-CLK_IOB:MUX.MUXBUS3[1]
53 CLK_IOB:MUX.MUXBUS2[2]-CLK_IOB:MUX.MUXBUS3[2]
54 CLK_IOB:MUX.MUXBUS2[3]-CLK_IOB:MUX.MUXBUS3[3]
55 CLK_IOB:MUX.MUXBUS2[9]-CLK_IOB:MUX.MUXBUS3[9]
56 ---
57 CLK_IOB:MUX.MUXBUS2[4]-CLK_IOB:MUX.MUXBUS3[4]
58 ---
59 CLK_IOB:MUX.MUXBUS2[14]-CLK_IOB:MUX.MUXBUS3[14]
60 CLK_IOB:MUX.MUXBUS0[13]-CLK_IOB:MUX.MUXBUS1[13]
61 CLK_IOB:MUX.MUXBUS0[12]-CLK_IOB:MUX.MUXBUS1[12]
62 CLK_IOB:MUX.MUXBUS0[11]-CLK_IOB:MUX.MUXBUS1[11]
63 CLK_IOB:MUX.MUXBUS0[10]-CLK_IOB:MUX.MUXBUS1[10]
64 CLK_IOB:MUX.MUXBUS1[5]-CLK_IOB:MUX.MUXBUS0[8]
65 CLK_IOB:MUX.MUXBUS0[5]-CLK_IOB:MUX.MUXBUS1[8]
66 CLK_IOB:MUX.MUXBUS0[6]-CLK_IOB:MUX.MUXBUS0[7]
67 CLK_IOB:MUX.MUXBUS1[6]-CLK_IOB:MUX.MUXBUS1[7]
68 ---
69 CLK_IOB:MUX.MUXBUS0[0]-CLK_IOB:MUX.MUXBUS1[0]
70 ---
71 ---
72 CLK_IOB:MUX.MUXBUS0[1]-CLK_IOB:MUX.MUXBUS1[1]
73 CLK_IOB:MUX.MUXBUS0[2]-CLK_IOB:MUX.MUXBUS1[2]
74 CLK_IOB:MUX.MUXBUS0[3]-CLK_IOB:MUX.MUXBUS1[3]
75 CLK_IOB:MUX.MUXBUS0[9]-CLK_IOB:MUX.MUXBUS1[9]
76 ---
77 CLK_IOB:MUX.MUXBUS0[4]-CLK_IOB:MUX.MUXBUS1[4]
78 ---
79 CLK_IOB:MUX.MUXBUS0[14]-CLK_IOB:MUX.MUXBUS1[14]
CLK_IOB_T bittile 5
RowColumn
CLK_IOB_T bittile 6
RowColumn
CLK_IOB_T bittile 7
RowColumn
CLK_IOB_T bittile 8
RowColumn
CLK_IOB_T bittile 9
RowColumn
CLK_IOB_T bittile 10
RowColumn
CLK_IOB_T bittile 11
RowColumn
CLK_IOB_T bittile 12
RowColumn
CLK_IOB_T bittile 13
RowColumn
012
0 ---
1 CLK_IOB:BUF.GIOB13[0]-CLK_IOB:BUF.GIOB15[0]
2 ---
3 CLK_IOB:BUF.GIOB13[1]-CLK_IOB:BUF.GIOB15[1]
4 CLK_IOB:BUF.GIOB13[2]-CLK_IOB:BUF.GIOB15[2]
5 CLK_IOB:BUF.GIOB13[3]-CLK_IOB:BUF.GIOB15[3]
6 ---
7 ---
8 CLK_IOB:BUF.GIOB13[4]-CLK_IOB:BUF.GIOB15[4]
9 ---
10 ---
11 ---
12 ---
13 ---
14 ---
15 ---
16 ---
17 ---
18 ---
19 ---
20 ---
21 CLK_IOB:BUF.GIOB12[0]-CLK_IOB:BUF.GIOB14[0]
22 ---
23 CLK_IOB:BUF.GIOB12[1]-CLK_IOB:BUF.GIOB14[1]
24 CLK_IOB:BUF.GIOB12[2]-CLK_IOB:BUF.GIOB14[2]
25 CLK_IOB:BUF.GIOB12[3]-CLK_IOB:BUF.GIOB14[3]
26 ---
27 ---
28 CLK_IOB:BUF.GIOB12[4]-CLK_IOB:BUF.GIOB14[4]
29 ---
30 ---
31 ---
32 ---
33 ---
34 ---
35 ---
36 ---
37 ---
38 ---
39 ---
40 ---
41 CLK_IOB:BUF.GIOB9[0]-CLK_IOB:BUF.GIOB11[0]
42 ---
43 CLK_IOB:BUF.GIOB9[1]-CLK_IOB:BUF.GIOB11[1]
44 CLK_IOB:BUF.GIOB9[2]-CLK_IOB:BUF.GIOB11[2]
45 CLK_IOB:BUF.GIOB9[3]-CLK_IOB:BUF.GIOB11[3]
46 ---
47 ---
48 CLK_IOB:BUF.GIOB9[4]-CLK_IOB:BUF.GIOB11[4]
49 ---
50 ---
51 ---
52 ---
53 ---
54 ---
55 ---
56 ---
57 ---
58 ---
59 ---
60 ---
61 CLK_IOB:BUF.GIOB8[0]-CLK_IOB:BUF.GIOB10[0]
62 ---
63 CLK_IOB:BUF.GIOB8[1]-CLK_IOB:BUF.GIOB10[1]
64 CLK_IOB:BUF.GIOB8[2]-CLK_IOB:BUF.GIOB10[2]
65 CLK_IOB:BUF.GIOB8[3]-CLK_IOB:BUF.GIOB10[3]
66 ---
67 ---
68 CLK_IOB:BUF.GIOB8[4]-CLK_IOB:BUF.GIOB10[4]
CLK_IOB_T bittile 14
RowColumn
012
0 ---
1 CLK_IOB:BUF.GIOB5[0]-CLK_IOB:BUF.GIOB7[0]
2 ---
3 CLK_IOB:BUF.GIOB5[1]-CLK_IOB:BUF.GIOB7[1]
4 CLK_IOB:BUF.GIOB5[2]-CLK_IOB:BUF.GIOB7[2]
5 CLK_IOB:BUF.GIOB5[3]-CLK_IOB:BUF.GIOB7[3]
6 ---
7 ---
8 CLK_IOB:BUF.GIOB5[4]-CLK_IOB:BUF.GIOB7[4]
9 ---
10 ---
11 ---
12 ---
13 ---
14 ---
15 ---
16 ---
17 ---
18 ---
19 ---
20 ---
21 CLK_IOB:BUF.GIOB4[0]-CLK_IOB:BUF.GIOB6[0]
22 ---
23 CLK_IOB:BUF.GIOB4[1]-CLK_IOB:BUF.GIOB6[1]
24 CLK_IOB:BUF.GIOB4[2]-CLK_IOB:BUF.GIOB6[2]
25 CLK_IOB:BUF.GIOB4[3]-CLK_IOB:BUF.GIOB6[3]
26 ---
27 ---
28 CLK_IOB:BUF.GIOB4[4]-CLK_IOB:BUF.GIOB6[4]
29 ---
30 ---
31 ---
32 ---
33 ---
34 ---
35 ---
36 ---
37 ---
38 ---
39 ---
40 ---
41 CLK_IOB:BUF.GIOB1[0]-CLK_IOB:BUF.GIOB3[0]
42 ---
43 CLK_IOB:BUF.GIOB1[1]-CLK_IOB:BUF.GIOB3[1]
44 CLK_IOB:BUF.GIOB1[2]-CLK_IOB:BUF.GIOB3[2]
45 CLK_IOB:BUF.GIOB1[3]-CLK_IOB:BUF.GIOB3[3]
46 ---
47 ---
48 CLK_IOB:BUF.GIOB1[4]-CLK_IOB:BUF.GIOB3[4]
49 ---
50 ---
51 ---
52 ---
53 ---
54 ---
55 ---
56 ---
57 ---
58 ---
59 ---
60 ---
61 CLK_IOB:BUF.GIOB0[0]-CLK_IOB:BUF.GIOB2[0]
62 ---
63 CLK_IOB:BUF.GIOB0[1]-CLK_IOB:BUF.GIOB2[1]
64 CLK_IOB:BUF.GIOB0[2]-CLK_IOB:BUF.GIOB2[2]
65 CLK_IOB:BUF.GIOB0[3]-CLK_IOB:BUF.GIOB2[3]
66 ---
67 ---
68 CLK_IOB:BUF.GIOB0[4]-CLK_IOB:BUF.GIOB2[4]
CLK_IOB:MUX.MUXBUS0[4, 0, 79][4, 0, 60][4, 0, 61][4, 0, 62][4, 0, 63][4, 0, 75][4, 2, 64][4, 2, 66][4, 0, 66][4, 0, 65][4, 0, 77][4, 0, 74][4, 0, 73][4, 0, 72][4, 0, 69]
CLK_IOB:MUX.MUXBUS1[4, 2, 79][4, 2, 60][4, 2, 61][4, 2, 62][4, 2, 63][4, 2, 75][4, 2, 65][4, 2, 67][4, 0, 67][4, 0, 64][4, 2, 77][4, 2, 74][4, 2, 73][4, 2, 72][4, 2, 69]
CLK_IOB:MUX.MUXBUS10[3, 0, 59][3, 0, 40][3, 0, 41][3, 0, 42][3, 0, 43][3, 0, 55][3, 2, 44][3, 2, 46][3, 0, 46][3, 0, 45][3, 0, 57][3, 0, 54][3, 0, 53][3, 0, 52][3, 0, 49]
CLK_IOB:MUX.MUXBUS11[3, 2, 59][3, 2, 40][3, 2, 41][3, 2, 42][3, 2, 43][3, 2, 55][3, 2, 45][3, 2, 47][3, 0, 47][3, 0, 44][3, 2, 57][3, 2, 54][3, 2, 53][3, 2, 52][3, 2, 49]
CLK_IOB:MUX.MUXBUS12[3, 0, 39][3, 0, 20][3, 0, 21][3, 0, 22][3, 0, 23][3, 0, 35][3, 2, 24][3, 2, 26][3, 0, 26][3, 0, 25][3, 0, 37][3, 0, 34][3, 0, 33][3, 0, 32][3, 0, 29]
CLK_IOB:MUX.MUXBUS13[3, 2, 39][3, 2, 20][3, 2, 21][3, 2, 22][3, 2, 23][3, 2, 35][3, 2, 25][3, 2, 27][3, 0, 27][3, 0, 24][3, 2, 37][3, 2, 34][3, 2, 33][3, 2, 32][3, 2, 29]
CLK_IOB:MUX.MUXBUS14[3, 0, 19][3, 0, 0][3, 0, 1][3, 0, 2][3, 0, 3][3, 0, 15][3, 2, 4][3, 2, 6][3, 0, 6][3, 0, 5][3, 0, 17][3, 0, 14][3, 0, 13][3, 0, 12][3, 0, 9]
CLK_IOB:MUX.MUXBUS15[3, 2, 19][3, 2, 0][3, 2, 1][3, 2, 2][3, 2, 3][3, 2, 15][3, 2, 5][3, 2, 7][3, 0, 7][3, 0, 4][3, 2, 17][3, 2, 14][3, 2, 13][3, 2, 12][3, 2, 9]
CLK_IOB:MUX.MUXBUS16[2, 0, 79][2, 0, 60][2, 0, 61][2, 0, 62][2, 0, 63][2, 0, 75][2, 2, 64][2, 2, 66][2, 0, 66][2, 0, 65][2, 0, 77][2, 0, 74][2, 0, 73][2, 0, 72][2, 0, 69]
CLK_IOB:MUX.MUXBUS17[2, 2, 79][2, 2, 60][2, 2, 61][2, 2, 62][2, 2, 63][2, 2, 75][2, 2, 65][2, 2, 67][2, 0, 67][2, 0, 64][2, 2, 77][2, 2, 74][2, 2, 73][2, 2, 72][2, 2, 69]
CLK_IOB:MUX.MUXBUS18[2, 0, 59][2, 0, 40][2, 0, 41][2, 0, 42][2, 0, 43][2, 0, 55][2, 2, 44][2, 2, 46][2, 0, 46][2, 0, 45][2, 0, 57][2, 0, 54][2, 0, 53][2, 0, 52][2, 0, 49]
CLK_IOB:MUX.MUXBUS19[2, 2, 59][2, 2, 40][2, 2, 41][2, 2, 42][2, 2, 43][2, 2, 55][2, 2, 45][2, 2, 47][2, 0, 47][2, 0, 44][2, 2, 57][2, 2, 54][2, 2, 53][2, 2, 52][2, 2, 49]
CLK_IOB:MUX.MUXBUS2[4, 0, 59][4, 0, 40][4, 0, 41][4, 0, 42][4, 0, 43][4, 0, 55][4, 2, 44][4, 2, 46][4, 0, 46][4, 0, 45][4, 0, 57][4, 0, 54][4, 0, 53][4, 0, 52][4, 0, 49]
CLK_IOB:MUX.MUXBUS20[2, 0, 39][2, 0, 20][2, 0, 21][2, 0, 22][2, 0, 23][2, 0, 35][2, 2, 24][2, 2, 26][2, 0, 26][2, 0, 25][2, 0, 37][2, 0, 34][2, 0, 33][2, 0, 32][2, 0, 29]
CLK_IOB:MUX.MUXBUS21[2, 2, 39][2, 2, 20][2, 2, 21][2, 2, 22][2, 2, 23][2, 2, 35][2, 2, 25][2, 2, 27][2, 0, 27][2, 0, 24][2, 2, 37][2, 2, 34][2, 2, 33][2, 2, 32][2, 2, 29]
CLK_IOB:MUX.MUXBUS22[2, 0, 19][2, 0, 0][2, 0, 1][2, 0, 2][2, 0, 3][2, 0, 15][2, 2, 4][2, 2, 6][2, 0, 6][2, 0, 5][2, 0, 17][2, 0, 14][2, 0, 13][2, 0, 12][2, 0, 9]
CLK_IOB:MUX.MUXBUS23[2, 2, 19][2, 2, 0][2, 2, 1][2, 2, 2][2, 2, 3][2, 2, 15][2, 2, 5][2, 2, 7][2, 0, 7][2, 0, 4][2, 2, 17][2, 2, 14][2, 2, 13][2, 2, 12][2, 2, 9]
CLK_IOB:MUX.MUXBUS24[1, 0, 79][1, 0, 60][1, 0, 61][1, 0, 62][1, 0, 63][1, 0, 75][1, 2, 64][1, 2, 66][1, 0, 66][1, 0, 65][1, 0, 77][1, 0, 74][1, 0, 73][1, 0, 72][1, 0, 69]
CLK_IOB:MUX.MUXBUS25[1, 2, 79][1, 2, 60][1, 2, 61][1, 2, 62][1, 2, 63][1, 2, 75][1, 2, 65][1, 2, 67][1, 0, 67][1, 0, 64][1, 2, 77][1, 2, 74][1, 2, 73][1, 2, 72][1, 2, 69]
CLK_IOB:MUX.MUXBUS26[1, 0, 59][1, 0, 40][1, 0, 41][1, 0, 42][1, 0, 43][1, 0, 55][1, 2, 44][1, 2, 46][1, 0, 46][1, 0, 45][1, 0, 57][1, 0, 54][1, 0, 53][1, 0, 52][1, 0, 49]
CLK_IOB:MUX.MUXBUS27[1, 2, 59][1, 2, 40][1, 2, 41][1, 2, 42][1, 2, 43][1, 2, 55][1, 2, 45][1, 2, 47][1, 0, 47][1, 0, 44][1, 2, 57][1, 2, 54][1, 2, 53][1, 2, 52][1, 2, 49]
CLK_IOB:MUX.MUXBUS28[1, 0, 39][1, 0, 20][1, 0, 21][1, 0, 22][1, 0, 23][1, 0, 35][1, 2, 24][1, 2, 26][1, 0, 26][1, 0, 25][1, 0, 37][1, 0, 34][1, 0, 33][1, 0, 32][1, 0, 29]
CLK_IOB:MUX.MUXBUS29[1, 2, 39][1, 2, 20][1, 2, 21][1, 2, 22][1, 2, 23][1, 2, 35][1, 2, 25][1, 2, 27][1, 0, 27][1, 0, 24][1, 2, 37][1, 2, 34][1, 2, 33][1, 2, 32][1, 2, 29]
CLK_IOB:MUX.MUXBUS3[4, 2, 59][4, 2, 40][4, 2, 41][4, 2, 42][4, 2, 43][4, 2, 55][4, 2, 45][4, 2, 47][4, 0, 47][4, 0, 44][4, 2, 57][4, 2, 54][4, 2, 53][4, 2, 52][4, 2, 49]
CLK_IOB:MUX.MUXBUS30[1, 0, 19][1, 0, 0][1, 0, 1][1, 0, 2][1, 0, 3][1, 0, 15][1, 2, 4][1, 2, 6][1, 0, 6][1, 0, 5][1, 0, 17][1, 0, 14][1, 0, 13][1, 0, 12][1, 0, 9]
CLK_IOB:MUX.MUXBUS31[1, 2, 19][1, 2, 0][1, 2, 1][1, 2, 2][1, 2, 3][1, 2, 15][1, 2, 5][1, 2, 7][1, 0, 7][1, 0, 4][1, 2, 17][1, 2, 14][1, 2, 13][1, 2, 12][1, 2, 9]
CLK_IOB:MUX.MUXBUS4[4, 0, 39][4, 0, 20][4, 0, 21][4, 0, 22][4, 0, 23][4, 0, 35][4, 2, 24][4, 2, 26][4, 0, 26][4, 0, 25][4, 0, 37][4, 0, 34][4, 0, 33][4, 0, 32][4, 0, 29]
CLK_IOB:MUX.MUXBUS5[4, 2, 39][4, 2, 20][4, 2, 21][4, 2, 22][4, 2, 23][4, 2, 35][4, 2, 25][4, 2, 27][4, 0, 27][4, 0, 24][4, 2, 37][4, 2, 34][4, 2, 33][4, 2, 32][4, 2, 29]
CLK_IOB:MUX.MUXBUS6[4, 0, 19][4, 0, 0][4, 0, 1][4, 0, 2][4, 0, 3][4, 0, 15][4, 2, 4][4, 2, 6][4, 0, 6][4, 0, 5][4, 0, 17][4, 0, 14][4, 0, 13][4, 0, 12][4, 0, 9]
CLK_IOB:MUX.MUXBUS7[4, 2, 19][4, 2, 0][4, 2, 1][4, 2, 2][4, 2, 3][4, 2, 15][4, 2, 5][4, 2, 7][4, 0, 7][4, 0, 4][4, 2, 17][4, 2, 14][4, 2, 13][4, 2, 12][4, 2, 9]
CLK_IOB:MUX.MUXBUS8[3, 0, 79][3, 0, 60][3, 0, 61][3, 0, 62][3, 0, 63][3, 0, 75][3, 2, 64][3, 2, 66][3, 0, 66][3, 0, 65][3, 0, 77][3, 0, 74][3, 0, 73][3, 0, 72][3, 0, 69]
CLK_IOB:MUX.MUXBUS9[3, 2, 79][3, 2, 60][3, 2, 61][3, 2, 62][3, 2, 63][3, 2, 75][3, 2, 65][3, 2, 67][3, 0, 67][3, 0, 64][3, 2, 77][3, 2, 74][3, 2, 73][3, 2, 72][3, 2, 69]
NONE000000000000000
GIOB0000010000111111
GIOB4000010001011111
GIOB8000010010011111
GIOB12000010100011111
GIOB1000100000111111
GIOB5000100001011111
GIOB9000100010011111
GIOB13000100100011111
GIOB2001000000111111
GIOB6001000001011111
GIOB10001000010011111
GIOB14001000100011111
GIOB3010000000111111
GIOB7010000001011111
GIOB11010000010011111
GIOB15010000100011111
PASS100001000011111
CLK_IOB:BUF.GIOB0[14, 0, 68][14, 0, 65][14, 0, 64][14, 0, 63][14, 0, 61]
CLK_IOB:BUF.GIOB1[14, 0, 48][14, 0, 45][14, 0, 44][14, 0, 43][14, 0, 41]
CLK_IOB:BUF.GIOB10[13, 2, 68][13, 2, 65][13, 2, 64][13, 2, 63][13, 2, 61]
CLK_IOB:BUF.GIOB11[13, 2, 48][13, 2, 45][13, 2, 44][13, 2, 43][13, 2, 41]
CLK_IOB:BUF.GIOB12[13, 0, 28][13, 0, 25][13, 0, 24][13, 0, 23][13, 0, 21]
CLK_IOB:BUF.GIOB13[13, 0, 8][13, 0, 5][13, 0, 4][13, 0, 3][13, 0, 1]
CLK_IOB:BUF.GIOB14[13, 2, 28][13, 2, 25][13, 2, 24][13, 2, 23][13, 2, 21]
CLK_IOB:BUF.GIOB15[13, 2, 8][13, 2, 5][13, 2, 4][13, 2, 3][13, 2, 1]
CLK_IOB:BUF.GIOB2[14, 2, 68][14, 2, 65][14, 2, 64][14, 2, 63][14, 2, 61]
CLK_IOB:BUF.GIOB3[14, 2, 48][14, 2, 45][14, 2, 44][14, 2, 43][14, 2, 41]
CLK_IOB:BUF.GIOB4[14, 0, 28][14, 0, 25][14, 0, 24][14, 0, 23][14, 0, 21]
CLK_IOB:BUF.GIOB5[14, 0, 8][14, 0, 5][14, 0, 4][14, 0, 3][14, 0, 1]
CLK_IOB:BUF.GIOB6[14, 2, 28][14, 2, 25][14, 2, 24][14, 2, 23][14, 2, 21]
CLK_IOB:BUF.GIOB7[14, 2, 8][14, 2, 5][14, 2, 4][14, 2, 3][14, 2, 1]
CLK_IOB:BUF.GIOB8[13, 0, 68][13, 0, 65][13, 0, 64][13, 0, 63][13, 0, 61]
CLK_IOB:BUF.GIOB9[13, 0, 48][13, 0, 45][13, 0, 44][13, 0, 43][13, 0, 41]
Non-inverted[4][3][2][1][0]

Spine muxes — DCM

CLK_DCM_B

CLK_DCM_B bittile 0
RowColumn
CLK_DCM_B bittile 1
RowColumn
CLK_DCM_B bittile 2
RowColumn
012
0 CLK_DCM:MUX.MUXBUS0[0]-CLK_DCM:MUX.MUXBUS1[0]
1 ---
2 ---
3 CLK_DCM:MUX.MUXBUS0[1]-CLK_DCM:MUX.MUXBUS1[1]
4 CLK_DCM:MUX.MUXBUS0[2]-CLK_DCM:MUX.MUXBUS1[2]
5 CLK_DCM:MUX.MUXBUS0[3]-CLK_DCM:MUX.MUXBUS1[3]
6 CLK_DCM:MUX.MUXBUS0[16]-CLK_DCM:MUX.MUXBUS1[16]
7 CLK_DCM:MUX.MUXBUS0[11]-CLK_DCM:MUX.MUXBUS1[11]
8 CLK_DCM:MUX.MUXBUS0[4]-CLK_DCM:MUX.MUXBUS1[4]
9 ---
10 CLK_DCM:MUX.MUXBUS0[15]-CLK_DCM:MUX.MUXBUS1[15]
11 CLK_DCM:MUX.MUXBUS0[14]-CLK_DCM:MUX.MUXBUS1[14]
12 CLK_DCM:MUX.MUXBUS0[13]-CLK_DCM:MUX.MUXBUS1[13]
13 CLK_DCM:MUX.MUXBUS0[12]-CLK_DCM:MUX.MUXBUS1[12]
14 CLK_DCM:MUX.MUXBUS0[5]-CLK_DCM:MUX.MUXBUS1[5]
15 CLK_DCM:MUX.MUXBUS0[6]-CLK_DCM:MUX.MUXBUS1[6]
16 CLK_DCM:MUX.MUXBUS0[7]-CLK_DCM:MUX.MUXBUS1[7]
17 CLK_DCM:MUX.MUXBUS0[8]-CLK_DCM:MUX.MUXBUS1[8]
18 CLK_DCM:MUX.MUXBUS0[9]-CLK_DCM:MUX.MUXBUS1[9]
19 CLK_DCM:MUX.MUXBUS0[10]-CLK_DCM:MUX.MUXBUS1[10]
20 CLK_DCM:MUX.MUXBUS2[0]-CLK_DCM:MUX.MUXBUS3[0]
21 ---
22 ---
23 CLK_DCM:MUX.MUXBUS2[1]-CLK_DCM:MUX.MUXBUS3[1]
24 CLK_DCM:MUX.MUXBUS2[2]-CLK_DCM:MUX.MUXBUS3[2]
25 CLK_DCM:MUX.MUXBUS2[3]-CLK_DCM:MUX.MUXBUS3[3]
26 CLK_DCM:MUX.MUXBUS2[16]-CLK_DCM:MUX.MUXBUS3[16]
27 CLK_DCM:MUX.MUXBUS2[11]-CLK_DCM:MUX.MUXBUS3[11]
28 CLK_DCM:MUX.MUXBUS2[4]-CLK_DCM:MUX.MUXBUS3[4]
29 ---
30 CLK_DCM:MUX.MUXBUS2[15]-CLK_DCM:MUX.MUXBUS3[15]
31 CLK_DCM:MUX.MUXBUS2[14]-CLK_DCM:MUX.MUXBUS3[14]
32 CLK_DCM:MUX.MUXBUS2[13]-CLK_DCM:MUX.MUXBUS3[13]
33 CLK_DCM:MUX.MUXBUS2[12]-CLK_DCM:MUX.MUXBUS3[12]
34 CLK_DCM:MUX.MUXBUS2[5]-CLK_DCM:MUX.MUXBUS3[5]
35 CLK_DCM:MUX.MUXBUS2[6]-CLK_DCM:MUX.MUXBUS3[6]
36 CLK_DCM:MUX.MUXBUS2[7]-CLK_DCM:MUX.MUXBUS3[7]
37 CLK_DCM:MUX.MUXBUS2[8]-CLK_DCM:MUX.MUXBUS3[8]
38 CLK_DCM:MUX.MUXBUS2[9]-CLK_DCM:MUX.MUXBUS3[9]
39 CLK_DCM:MUX.MUXBUS2[10]-CLK_DCM:MUX.MUXBUS3[10]
40 CLK_DCM:MUX.MUXBUS4[0]-CLK_DCM:MUX.MUXBUS5[0]
41 ---
42 ---
43 CLK_DCM:MUX.MUXBUS4[1]-CLK_DCM:MUX.MUXBUS5[1]
44 CLK_DCM:MUX.MUXBUS4[2]-CLK_DCM:MUX.MUXBUS5[2]
45 CLK_DCM:MUX.MUXBUS4[3]-CLK_DCM:MUX.MUXBUS5[3]
46 CLK_DCM:MUX.MUXBUS4[16]-CLK_DCM:MUX.MUXBUS5[16]
47 CLK_DCM:MUX.MUXBUS4[11]-CLK_DCM:MUX.MUXBUS5[11]
48 CLK_DCM:MUX.MUXBUS4[4]-CLK_DCM:MUX.MUXBUS5[4]
49 ---
50 CLK_DCM:MUX.MUXBUS4[15]-CLK_DCM:MUX.MUXBUS5[15]
51 CLK_DCM:MUX.MUXBUS4[14]-CLK_DCM:MUX.MUXBUS5[14]
52 CLK_DCM:MUX.MUXBUS4[13]-CLK_DCM:MUX.MUXBUS5[13]
53 CLK_DCM:MUX.MUXBUS4[12]-CLK_DCM:MUX.MUXBUS5[12]
54 CLK_DCM:MUX.MUXBUS4[5]-CLK_DCM:MUX.MUXBUS5[5]
55 CLK_DCM:MUX.MUXBUS4[6]-CLK_DCM:MUX.MUXBUS5[6]
56 CLK_DCM:MUX.MUXBUS4[7]-CLK_DCM:MUX.MUXBUS5[7]
57 CLK_DCM:MUX.MUXBUS4[8]-CLK_DCM:MUX.MUXBUS5[8]
58 CLK_DCM:MUX.MUXBUS4[9]-CLK_DCM:MUX.MUXBUS5[9]
59 CLK_DCM:MUX.MUXBUS4[10]-CLK_DCM:MUX.MUXBUS5[10]
60 CLK_DCM:MUX.MUXBUS6[0]-CLK_DCM:MUX.MUXBUS7[0]
61 ---
62 ---
63 CLK_DCM:MUX.MUXBUS6[1]-CLK_DCM:MUX.MUXBUS7[1]
64 CLK_DCM:MUX.MUXBUS6[2]-CLK_DCM:MUX.MUXBUS7[2]
65 CLK_DCM:MUX.MUXBUS6[3]-CLK_DCM:MUX.MUXBUS7[3]
66 CLK_DCM:MUX.MUXBUS6[16]-CLK_DCM:MUX.MUXBUS7[16]
67 CLK_DCM:MUX.MUXBUS6[11]-CLK_DCM:MUX.MUXBUS7[11]
68 CLK_DCM:MUX.MUXBUS6[4]-CLK_DCM:MUX.MUXBUS7[4]
69 ---
70 CLK_DCM:MUX.MUXBUS6[15]-CLK_DCM:MUX.MUXBUS7[15]
71 CLK_DCM:MUX.MUXBUS6[14]-CLK_DCM:MUX.MUXBUS7[14]
72 CLK_DCM:MUX.MUXBUS6[13]-CLK_DCM:MUX.MUXBUS7[13]
73 CLK_DCM:MUX.MUXBUS6[12]-CLK_DCM:MUX.MUXBUS7[12]
74 CLK_DCM:MUX.MUXBUS6[5]-CLK_DCM:MUX.MUXBUS7[5]
75 CLK_DCM:MUX.MUXBUS6[6]-CLK_DCM:MUX.MUXBUS7[6]
76 CLK_DCM:MUX.MUXBUS6[7]-CLK_DCM:MUX.MUXBUS7[7]
77 CLK_DCM:MUX.MUXBUS6[8]-CLK_DCM:MUX.MUXBUS7[8]
78 CLK_DCM:MUX.MUXBUS6[9]-CLK_DCM:MUX.MUXBUS7[9]
79 CLK_DCM:MUX.MUXBUS6[10]-CLK_DCM:MUX.MUXBUS7[10]
CLK_DCM_B bittile 3
RowColumn
012
0 CLK_DCM:MUX.MUXBUS8[0]-CLK_DCM:MUX.MUXBUS9[0]
1 ---
2 ---
3 CLK_DCM:MUX.MUXBUS8[1]-CLK_DCM:MUX.MUXBUS9[1]
4 CLK_DCM:MUX.MUXBUS8[2]-CLK_DCM:MUX.MUXBUS9[2]
5 CLK_DCM:MUX.MUXBUS8[3]-CLK_DCM:MUX.MUXBUS9[3]
6 CLK_DCM:MUX.MUXBUS8[16]-CLK_DCM:MUX.MUXBUS9[16]
7 CLK_DCM:MUX.MUXBUS8[11]-CLK_DCM:MUX.MUXBUS9[11]
8 CLK_DCM:MUX.MUXBUS8[4]-CLK_DCM:MUX.MUXBUS9[4]
9 ---
10 CLK_DCM:MUX.MUXBUS8[15]-CLK_DCM:MUX.MUXBUS9[15]
11 CLK_DCM:MUX.MUXBUS8[14]-CLK_DCM:MUX.MUXBUS9[14]
12 CLK_DCM:MUX.MUXBUS8[13]-CLK_DCM:MUX.MUXBUS9[13]
13 CLK_DCM:MUX.MUXBUS8[12]-CLK_DCM:MUX.MUXBUS9[12]
14 CLK_DCM:MUX.MUXBUS8[5]-CLK_DCM:MUX.MUXBUS9[5]
15 CLK_DCM:MUX.MUXBUS8[6]-CLK_DCM:MUX.MUXBUS9[6]
16 CLK_DCM:MUX.MUXBUS8[7]-CLK_DCM:MUX.MUXBUS9[7]
17 CLK_DCM:MUX.MUXBUS8[8]-CLK_DCM:MUX.MUXBUS9[8]
18 CLK_DCM:MUX.MUXBUS8[9]-CLK_DCM:MUX.MUXBUS9[9]
19 CLK_DCM:MUX.MUXBUS8[10]-CLK_DCM:MUX.MUXBUS9[10]
20 CLK_DCM:MUX.MUXBUS10[0]-CLK_DCM:MUX.MUXBUS11[0]
21 ---
22 ---
23 CLK_DCM:MUX.MUXBUS10[1]-CLK_DCM:MUX.MUXBUS11[1]
24 CLK_DCM:MUX.MUXBUS10[2]-CLK_DCM:MUX.MUXBUS11[2]
25 CLK_DCM:MUX.MUXBUS10[3]-CLK_DCM:MUX.MUXBUS11[3]
26 CLK_DCM:MUX.MUXBUS10[16]-CLK_DCM:MUX.MUXBUS11[16]
27 CLK_DCM:MUX.MUXBUS10[11]-CLK_DCM:MUX.MUXBUS11[11]
28 CLK_DCM:MUX.MUXBUS10[4]-CLK_DCM:MUX.MUXBUS11[4]
29 ---
30 CLK_DCM:MUX.MUXBUS10[15]-CLK_DCM:MUX.MUXBUS11[15]
31 CLK_DCM:MUX.MUXBUS10[14]-CLK_DCM:MUX.MUXBUS11[14]
32 CLK_DCM:MUX.MUXBUS10[13]-CLK_DCM:MUX.MUXBUS11[13]
33 CLK_DCM:MUX.MUXBUS10[12]-CLK_DCM:MUX.MUXBUS11[12]
34 CLK_DCM:MUX.MUXBUS10[5]-CLK_DCM:MUX.MUXBUS11[5]
35 CLK_DCM:MUX.MUXBUS10[6]-CLK_DCM:MUX.MUXBUS11[6]
36 CLK_DCM:MUX.MUXBUS10[7]-CLK_DCM:MUX.MUXBUS11[7]
37 CLK_DCM:MUX.MUXBUS10[8]-CLK_DCM:MUX.MUXBUS11[8]
38 CLK_DCM:MUX.MUXBUS10[9]-CLK_DCM:MUX.MUXBUS11[9]
39 CLK_DCM:MUX.MUXBUS10[10]-CLK_DCM:MUX.MUXBUS11[10]
40 CLK_DCM:MUX.MUXBUS12[0]-CLK_DCM:MUX.MUXBUS13[0]
41 ---
42 ---
43 CLK_DCM:MUX.MUXBUS12[1]-CLK_DCM:MUX.MUXBUS13[1]
44 CLK_DCM:MUX.MUXBUS12[2]-CLK_DCM:MUX.MUXBUS13[2]
45 CLK_DCM:MUX.MUXBUS12[3]-CLK_DCM:MUX.MUXBUS13[3]
46 CLK_DCM:MUX.MUXBUS12[16]-CLK_DCM:MUX.MUXBUS13[16]
47 CLK_DCM:MUX.MUXBUS12[11]-CLK_DCM:MUX.MUXBUS13[11]
48 CLK_DCM:MUX.MUXBUS12[4]-CLK_DCM:MUX.MUXBUS13[4]
49 ---
50 CLK_DCM:MUX.MUXBUS12[15]-CLK_DCM:MUX.MUXBUS13[15]
51 CLK_DCM:MUX.MUXBUS12[14]-CLK_DCM:MUX.MUXBUS13[14]
52 CLK_DCM:MUX.MUXBUS12[13]-CLK_DCM:MUX.MUXBUS13[13]
53 CLK_DCM:MUX.MUXBUS12[12]-CLK_DCM:MUX.MUXBUS13[12]
54 CLK_DCM:MUX.MUXBUS12[5]-CLK_DCM:MUX.MUXBUS13[5]
55 CLK_DCM:MUX.MUXBUS12[6]-CLK_DCM:MUX.MUXBUS13[6]
56 CLK_DCM:MUX.MUXBUS12[7]-CLK_DCM:MUX.MUXBUS13[7]
57 CLK_DCM:MUX.MUXBUS12[8]-CLK_DCM:MUX.MUXBUS13[8]
58 CLK_DCM:MUX.MUXBUS12[9]-CLK_DCM:MUX.MUXBUS13[9]
59 CLK_DCM:MUX.MUXBUS12[10]-CLK_DCM:MUX.MUXBUS13[10]
60 CLK_DCM:MUX.MUXBUS14[0]-CLK_DCM:MUX.MUXBUS15[0]
61 ---
62 ---
63 CLK_DCM:MUX.MUXBUS14[1]-CLK_DCM:MUX.MUXBUS15[1]
64 CLK_DCM:MUX.MUXBUS14[2]-CLK_DCM:MUX.MUXBUS15[2]
65 CLK_DCM:MUX.MUXBUS14[3]-CLK_DCM:MUX.MUXBUS15[3]
66 CLK_DCM:MUX.MUXBUS14[16]-CLK_DCM:MUX.MUXBUS15[16]
67 CLK_DCM:MUX.MUXBUS14[11]-CLK_DCM:MUX.MUXBUS15[11]
68 CLK_DCM:MUX.MUXBUS14[4]-CLK_DCM:MUX.MUXBUS15[4]
69 ---
70 CLK_DCM:MUX.MUXBUS14[15]-CLK_DCM:MUX.MUXBUS15[15]
71 CLK_DCM:MUX.MUXBUS14[14]-CLK_DCM:MUX.MUXBUS15[14]
72 CLK_DCM:MUX.MUXBUS14[13]-CLK_DCM:MUX.MUXBUS15[13]
73 CLK_DCM:MUX.MUXBUS14[12]-CLK_DCM:MUX.MUXBUS15[12]
74 CLK_DCM:MUX.MUXBUS14[5]-CLK_DCM:MUX.MUXBUS15[5]
75 CLK_DCM:MUX.MUXBUS14[6]-CLK_DCM:MUX.MUXBUS15[6]
76 CLK_DCM:MUX.MUXBUS14[7]-CLK_DCM:MUX.MUXBUS15[7]
77 CLK_DCM:MUX.MUXBUS14[8]-CLK_DCM:MUX.MUXBUS15[8]
78 CLK_DCM:MUX.MUXBUS14[9]-CLK_DCM:MUX.MUXBUS15[9]
79 CLK_DCM:MUX.MUXBUS14[10]-CLK_DCM:MUX.MUXBUS15[10]
CLK_DCM_B bittile 4
RowColumn
012
0 CLK_DCM:MUX.MUXBUS16[0]-CLK_DCM:MUX.MUXBUS17[0]
1 ---
2 ---
3 CLK_DCM:MUX.MUXBUS16[1]-CLK_DCM:MUX.MUXBUS17[1]
4 CLK_DCM:MUX.MUXBUS16[2]-CLK_DCM:MUX.MUXBUS17[2]
5 CLK_DCM:MUX.MUXBUS16[3]-CLK_DCM:MUX.MUXBUS17[3]
6 CLK_DCM:MUX.MUXBUS16[16]-CLK_DCM:MUX.MUXBUS17[16]
7 CLK_DCM:MUX.MUXBUS16[11]-CLK_DCM:MUX.MUXBUS17[11]
8 CLK_DCM:MUX.MUXBUS16[4]-CLK_DCM:MUX.MUXBUS17[4]
9 ---
10 CLK_DCM:MUX.MUXBUS16[15]-CLK_DCM:MUX.MUXBUS17[15]
11 CLK_DCM:MUX.MUXBUS16[14]-CLK_DCM:MUX.MUXBUS17[14]
12 CLK_DCM:MUX.MUXBUS16[13]-CLK_DCM:MUX.MUXBUS17[13]
13 CLK_DCM:MUX.MUXBUS16[12]-CLK_DCM:MUX.MUXBUS17[12]
14 CLK_DCM:MUX.MUXBUS16[5]-CLK_DCM:MUX.MUXBUS17[5]
15 CLK_DCM:MUX.MUXBUS16[6]-CLK_DCM:MUX.MUXBUS17[6]
16 CLK_DCM:MUX.MUXBUS16[7]-CLK_DCM:MUX.MUXBUS17[7]
17 CLK_DCM:MUX.MUXBUS16[8]-CLK_DCM:MUX.MUXBUS17[8]
18 CLK_DCM:MUX.MUXBUS16[9]-CLK_DCM:MUX.MUXBUS17[9]
19 CLK_DCM:MUX.MUXBUS16[10]-CLK_DCM:MUX.MUXBUS17[10]
20 CLK_DCM:MUX.MUXBUS18[0]-CLK_DCM:MUX.MUXBUS19[0]
21 ---
22 ---
23 CLK_DCM:MUX.MUXBUS18[1]-CLK_DCM:MUX.MUXBUS19[1]
24 CLK_DCM:MUX.MUXBUS18[2]-CLK_DCM:MUX.MUXBUS19[2]
25 CLK_DCM:MUX.MUXBUS18[3]-CLK_DCM:MUX.MUXBUS19[3]
26 CLK_DCM:MUX.MUXBUS18[16]-CLK_DCM:MUX.MUXBUS19[16]
27 CLK_DCM:MUX.MUXBUS18[11]-CLK_DCM:MUX.MUXBUS19[11]
28 CLK_DCM:MUX.MUXBUS18[4]-CLK_DCM:MUX.MUXBUS19[4]
29 ---
30 CLK_DCM:MUX.MUXBUS18[15]-CLK_DCM:MUX.MUXBUS19[15]
31 CLK_DCM:MUX.MUXBUS18[14]-CLK_DCM:MUX.MUXBUS19[14]
32 CLK_DCM:MUX.MUXBUS18[13]-CLK_DCM:MUX.MUXBUS19[13]
33 CLK_DCM:MUX.MUXBUS18[12]-CLK_DCM:MUX.MUXBUS19[12]
34 CLK_DCM:MUX.MUXBUS18[5]-CLK_DCM:MUX.MUXBUS19[5]
35 CLK_DCM:MUX.MUXBUS18[6]-CLK_DCM:MUX.MUXBUS19[6]
36 CLK_DCM:MUX.MUXBUS18[7]-CLK_DCM:MUX.MUXBUS19[7]
37 CLK_DCM:MUX.MUXBUS18[8]-CLK_DCM:MUX.MUXBUS19[8]
38 CLK_DCM:MUX.MUXBUS18[9]-CLK_DCM:MUX.MUXBUS19[9]
39 CLK_DCM:MUX.MUXBUS18[10]-CLK_DCM:MUX.MUXBUS19[10]
40 CLK_DCM:MUX.MUXBUS20[0]-CLK_DCM:MUX.MUXBUS21[0]
41 ---
42 ---
43 CLK_DCM:MUX.MUXBUS20[1]-CLK_DCM:MUX.MUXBUS21[1]
44 CLK_DCM:MUX.MUXBUS20[2]-CLK_DCM:MUX.MUXBUS21[2]
45 CLK_DCM:MUX.MUXBUS20[3]-CLK_DCM:MUX.MUXBUS21[3]
46 CLK_DCM:MUX.MUXBUS20[16]-CLK_DCM:MUX.MUXBUS21[16]
47 CLK_DCM:MUX.MUXBUS20[11]-CLK_DCM:MUX.MUXBUS21[11]
48 CLK_DCM:MUX.MUXBUS20[4]-CLK_DCM:MUX.MUXBUS21[4]
49 ---
50 CLK_DCM:MUX.MUXBUS20[15]-CLK_DCM:MUX.MUXBUS21[15]
51 CLK_DCM:MUX.MUXBUS20[14]-CLK_DCM:MUX.MUXBUS21[14]
52 CLK_DCM:MUX.MUXBUS20[13]-CLK_DCM:MUX.MUXBUS21[13]
53 CLK_DCM:MUX.MUXBUS20[12]-CLK_DCM:MUX.MUXBUS21[12]
54 CLK_DCM:MUX.MUXBUS20[5]-CLK_DCM:MUX.MUXBUS21[5]
55 CLK_DCM:MUX.MUXBUS20[6]-CLK_DCM:MUX.MUXBUS21[6]
56 CLK_DCM:MUX.MUXBUS20[7]-CLK_DCM:MUX.MUXBUS21[7]
57 CLK_DCM:MUX.MUXBUS20[8]-CLK_DCM:MUX.MUXBUS21[8]
58 CLK_DCM:MUX.MUXBUS20[9]-CLK_DCM:MUX.MUXBUS21[9]
59 CLK_DCM:MUX.MUXBUS20[10]-CLK_DCM:MUX.MUXBUS21[10]
60 CLK_DCM:MUX.MUXBUS22[0]-CLK_DCM:MUX.MUXBUS23[0]
61 ---
62 ---
63 CLK_DCM:MUX.MUXBUS22[1]-CLK_DCM:MUX.MUXBUS23[1]
64 CLK_DCM:MUX.MUXBUS22[2]-CLK_DCM:MUX.MUXBUS23[2]
65 CLK_DCM:MUX.MUXBUS22[3]-CLK_DCM:MUX.MUXBUS23[3]
66 CLK_DCM:MUX.MUXBUS22[16]-CLK_DCM:MUX.MUXBUS23[16]
67 CLK_DCM:MUX.MUXBUS22[11]-CLK_DCM:MUX.MUXBUS23[11]
68 CLK_DCM:MUX.MUXBUS22[4]-CLK_DCM:MUX.MUXBUS23[4]
69 ---
70 CLK_DCM:MUX.MUXBUS22[15]-CLK_DCM:MUX.MUXBUS23[15]
71 CLK_DCM:MUX.MUXBUS22[14]-CLK_DCM:MUX.MUXBUS23[14]
72 CLK_DCM:MUX.MUXBUS22[13]-CLK_DCM:MUX.MUXBUS23[13]
73 CLK_DCM:MUX.MUXBUS22[12]-CLK_DCM:MUX.MUXBUS23[12]
74 CLK_DCM:MUX.MUXBUS22[5]-CLK_DCM:MUX.MUXBUS23[5]
75 CLK_DCM:MUX.MUXBUS22[6]-CLK_DCM:MUX.MUXBUS23[6]
76 CLK_DCM:MUX.MUXBUS22[7]-CLK_DCM:MUX.MUXBUS23[7]
77 CLK_DCM:MUX.MUXBUS22[8]-CLK_DCM:MUX.MUXBUS23[8]
78 CLK_DCM:MUX.MUXBUS22[9]-CLK_DCM:MUX.MUXBUS23[9]
79 CLK_DCM:MUX.MUXBUS22[10]-CLK_DCM:MUX.MUXBUS23[10]
CLK_DCM_B bittile 5
RowColumn
012
0 CLK_DCM:MUX.MUXBUS24[0]-CLK_DCM:MUX.MUXBUS25[0]
1 ---
2 ---
3 CLK_DCM:MUX.MUXBUS24[1]-CLK_DCM:MUX.MUXBUS25[1]
4 CLK_DCM:MUX.MUXBUS24[2]-CLK_DCM:MUX.MUXBUS25[2]
5 CLK_DCM:MUX.MUXBUS24[3]-CLK_DCM:MUX.MUXBUS25[3]
6 CLK_DCM:MUX.MUXBUS24[16]-CLK_DCM:MUX.MUXBUS25[16]
7 CLK_DCM:MUX.MUXBUS24[11]-CLK_DCM:MUX.MUXBUS25[11]
8 CLK_DCM:MUX.MUXBUS24[4]-CLK_DCM:MUX.MUXBUS25[4]
9 ---
10 CLK_DCM:MUX.MUXBUS24[15]-CLK_DCM:MUX.MUXBUS25[15]
11 CLK_DCM:MUX.MUXBUS24[14]-CLK_DCM:MUX.MUXBUS25[14]
12 CLK_DCM:MUX.MUXBUS24[13]-CLK_DCM:MUX.MUXBUS25[13]
13 CLK_DCM:MUX.MUXBUS24[12]-CLK_DCM:MUX.MUXBUS25[12]
14 CLK_DCM:MUX.MUXBUS24[5]-CLK_DCM:MUX.MUXBUS25[5]
15 CLK_DCM:MUX.MUXBUS24[6]-CLK_DCM:MUX.MUXBUS25[6]
16 CLK_DCM:MUX.MUXBUS24[7]-CLK_DCM:MUX.MUXBUS25[7]
17 CLK_DCM:MUX.MUXBUS24[8]-CLK_DCM:MUX.MUXBUS25[8]
18 CLK_DCM:MUX.MUXBUS24[9]-CLK_DCM:MUX.MUXBUS25[9]
19 CLK_DCM:MUX.MUXBUS24[10]-CLK_DCM:MUX.MUXBUS25[10]
20 CLK_DCM:MUX.MUXBUS26[0]-CLK_DCM:MUX.MUXBUS27[0]
21 ---
22 ---
23 CLK_DCM:MUX.MUXBUS26[1]-CLK_DCM:MUX.MUXBUS27[1]
24 CLK_DCM:MUX.MUXBUS26[2]-CLK_DCM:MUX.MUXBUS27[2]
25 CLK_DCM:MUX.MUXBUS26[3]-CLK_DCM:MUX.MUXBUS27[3]
26 CLK_DCM:MUX.MUXBUS26[16]-CLK_DCM:MUX.MUXBUS27[16]
27 CLK_DCM:MUX.MUXBUS26[11]-CLK_DCM:MUX.MUXBUS27[11]
28 CLK_DCM:MUX.MUXBUS26[4]-CLK_DCM:MUX.MUXBUS27[4]
29 ---
30 CLK_DCM:MUX.MUXBUS26[15]-CLK_DCM:MUX.MUXBUS27[15]
31 CLK_DCM:MUX.MUXBUS26[14]-CLK_DCM:MUX.MUXBUS27[14]
32 CLK_DCM:MUX.MUXBUS26[13]-CLK_DCM:MUX.MUXBUS27[13]
33 CLK_DCM:MUX.MUXBUS26[12]-CLK_DCM:MUX.MUXBUS27[12]
34 CLK_DCM:MUX.MUXBUS26[5]-CLK_DCM:MUX.MUXBUS27[5]
35 CLK_DCM:MUX.MUXBUS26[6]-CLK_DCM:MUX.MUXBUS27[6]
36 CLK_DCM:MUX.MUXBUS26[7]-CLK_DCM:MUX.MUXBUS27[7]
37 CLK_DCM:MUX.MUXBUS26[8]-CLK_DCM:MUX.MUXBUS27[8]
38 CLK_DCM:MUX.MUXBUS26[9]-CLK_DCM:MUX.MUXBUS27[9]
39 CLK_DCM:MUX.MUXBUS26[10]-CLK_DCM:MUX.MUXBUS27[10]
40 CLK_DCM:MUX.MUXBUS28[0]-CLK_DCM:MUX.MUXBUS29[0]
41 ---
42 ---
43 CLK_DCM:MUX.MUXBUS28[1]-CLK_DCM:MUX.MUXBUS29[1]
44 CLK_DCM:MUX.MUXBUS28[2]-CLK_DCM:MUX.MUXBUS29[2]
45 CLK_DCM:MUX.MUXBUS28[3]-CLK_DCM:MUX.MUXBUS29[3]
46 CLK_DCM:MUX.MUXBUS28[16]-CLK_DCM:MUX.MUXBUS29[16]
47 CLK_DCM:MUX.MUXBUS28[11]-CLK_DCM:MUX.MUXBUS29[11]
48 CLK_DCM:MUX.MUXBUS28[4]-CLK_DCM:MUX.MUXBUS29[4]
49 ---
50 CLK_DCM:MUX.MUXBUS28[15]-CLK_DCM:MUX.MUXBUS29[15]
51 CLK_DCM:MUX.MUXBUS28[14]-CLK_DCM:MUX.MUXBUS29[14]
52 CLK_DCM:MUX.MUXBUS28[13]-CLK_DCM:MUX.MUXBUS29[13]
53 CLK_DCM:MUX.MUXBUS28[12]-CLK_DCM:MUX.MUXBUS29[12]
54 CLK_DCM:MUX.MUXBUS28[5]-CLK_DCM:MUX.MUXBUS29[5]
55 CLK_DCM:MUX.MUXBUS28[6]-CLK_DCM:MUX.MUXBUS29[6]
56 CLK_DCM:MUX.MUXBUS28[7]-CLK_DCM:MUX.MUXBUS29[7]
57 CLK_DCM:MUX.MUXBUS28[8]-CLK_DCM:MUX.MUXBUS29[8]
58 CLK_DCM:MUX.MUXBUS28[9]-CLK_DCM:MUX.MUXBUS29[9]
59 CLK_DCM:MUX.MUXBUS28[10]-CLK_DCM:MUX.MUXBUS29[10]
60 CLK_DCM:MUX.MUXBUS30[0]-CLK_DCM:MUX.MUXBUS31[0]
61 ---
62 ---
63 CLK_DCM:MUX.MUXBUS30[1]-CLK_DCM:MUX.MUXBUS31[1]
64 CLK_DCM:MUX.MUXBUS30[2]-CLK_DCM:MUX.MUXBUS31[2]
65 CLK_DCM:MUX.MUXBUS30[3]-CLK_DCM:MUX.MUXBUS31[3]
66 CLK_DCM:MUX.MUXBUS30[16]-CLK_DCM:MUX.MUXBUS31[16]
67 CLK_DCM:MUX.MUXBUS30[11]-CLK_DCM:MUX.MUXBUS31[11]
68 CLK_DCM:MUX.MUXBUS30[4]-CLK_DCM:MUX.MUXBUS31[4]
69 ---
70 CLK_DCM:MUX.MUXBUS30[15]-CLK_DCM:MUX.MUXBUS31[15]
71 CLK_DCM:MUX.MUXBUS30[14]-CLK_DCM:MUX.MUXBUS31[14]
72 CLK_DCM:MUX.MUXBUS30[13]-CLK_DCM:MUX.MUXBUS31[13]
73 CLK_DCM:MUX.MUXBUS30[12]-CLK_DCM:MUX.MUXBUS31[12]
74 CLK_DCM:MUX.MUXBUS30[5]-CLK_DCM:MUX.MUXBUS31[5]
75 CLK_DCM:MUX.MUXBUS30[6]-CLK_DCM:MUX.MUXBUS31[6]
76 CLK_DCM:MUX.MUXBUS30[7]-CLK_DCM:MUX.MUXBUS31[7]
77 CLK_DCM:MUX.MUXBUS30[8]-CLK_DCM:MUX.MUXBUS31[8]
78 CLK_DCM:MUX.MUXBUS30[9]-CLK_DCM:MUX.MUXBUS31[9]
79 CLK_DCM:MUX.MUXBUS30[10]-CLK_DCM:MUX.MUXBUS31[10]
CLK_DCM:MUX.MUXBUS0[2, 0, 6][2, 0, 10][2, 0, 11][2, 0, 12][2, 0, 13][2, 0, 7][2, 0, 19][2, 0, 18][2, 0, 17][2, 0, 16][2, 0, 15][2, 0, 14][2, 0, 8][2, 0, 5][2, 0, 4][2, 0, 3][2, 0, 0]
CLK_DCM:MUX.MUXBUS1[2, 2, 6][2, 2, 10][2, 2, 11][2, 2, 12][2, 2, 13][2, 2, 7][2, 2, 19][2, 2, 18][2, 2, 17][2, 2, 16][2, 2, 15][2, 2, 14][2, 2, 8][2, 2, 5][2, 2, 4][2, 2, 3][2, 2, 0]
CLK_DCM:MUX.MUXBUS10[3, 0, 26][3, 0, 30][3, 0, 31][3, 0, 32][3, 0, 33][3, 0, 27][3, 0, 39][3, 0, 38][3, 0, 37][3, 0, 36][3, 0, 35][3, 0, 34][3, 0, 28][3, 0, 25][3, 0, 24][3, 0, 23][3, 0, 20]
CLK_DCM:MUX.MUXBUS11[3, 2, 26][3, 2, 30][3, 2, 31][3, 2, 32][3, 2, 33][3, 2, 27][3, 2, 39][3, 2, 38][3, 2, 37][3, 2, 36][3, 2, 35][3, 2, 34][3, 2, 28][3, 2, 25][3, 2, 24][3, 2, 23][3, 2, 20]
CLK_DCM:MUX.MUXBUS12[3, 0, 46][3, 0, 50][3, 0, 51][3, 0, 52][3, 0, 53][3, 0, 47][3, 0, 59][3, 0, 58][3, 0, 57][3, 0, 56][3, 0, 55][3, 0, 54][3, 0, 48][3, 0, 45][3, 0, 44][3, 0, 43][3, 0, 40]
CLK_DCM:MUX.MUXBUS13[3, 2, 46][3, 2, 50][3, 2, 51][3, 2, 52][3, 2, 53][3, 2, 47][3, 2, 59][3, 2, 58][3, 2, 57][3, 2, 56][3, 2, 55][3, 2, 54][3, 2, 48][3, 2, 45][3, 2, 44][3, 2, 43][3, 2, 40]
CLK_DCM:MUX.MUXBUS14[3, 0, 66][3, 0, 70][3, 0, 71][3, 0, 72][3, 0, 73][3, 0, 67][3, 0, 79][3, 0, 78][3, 0, 77][3, 0, 76][3, 0, 75][3, 0, 74][3, 0, 68][3, 0, 65][3, 0, 64][3, 0, 63][3, 0, 60]
CLK_DCM:MUX.MUXBUS15[3, 2, 66][3, 2, 70][3, 2, 71][3, 2, 72][3, 2, 73][3, 2, 67][3, 2, 79][3, 2, 78][3, 2, 77][3, 2, 76][3, 2, 75][3, 2, 74][3, 2, 68][3, 2, 65][3, 2, 64][3, 2, 63][3, 2, 60]
CLK_DCM:MUX.MUXBUS16[4, 0, 6][4, 0, 10][4, 0, 11][4, 0, 12][4, 0, 13][4, 0, 7][4, 0, 19][4, 0, 18][4, 0, 17][4, 0, 16][4, 0, 15][4, 0, 14][4, 0, 8][4, 0, 5][4, 0, 4][4, 0, 3][4, 0, 0]
CLK_DCM:MUX.MUXBUS17[4, 2, 6][4, 2, 10][4, 2, 11][4, 2, 12][4, 2, 13][4, 2, 7][4, 2, 19][4, 2, 18][4, 2, 17][4, 2, 16][4, 2, 15][4, 2, 14][4, 2, 8][4, 2, 5][4, 2, 4][4, 2, 3][4, 2, 0]
CLK_DCM:MUX.MUXBUS18[4, 0, 26][4, 0, 30][4, 0, 31][4, 0, 32][4, 0, 33][4, 0, 27][4, 0, 39][4, 0, 38][4, 0, 37][4, 0, 36][4, 0, 35][4, 0, 34][4, 0, 28][4, 0, 25][4, 0, 24][4, 0, 23][4, 0, 20]
CLK_DCM:MUX.MUXBUS19[4, 2, 26][4, 2, 30][4, 2, 31][4, 2, 32][4, 2, 33][4, 2, 27][4, 2, 39][4, 2, 38][4, 2, 37][4, 2, 36][4, 2, 35][4, 2, 34][4, 2, 28][4, 2, 25][4, 2, 24][4, 2, 23][4, 2, 20]
CLK_DCM:MUX.MUXBUS2[2, 0, 26][2, 0, 30][2, 0, 31][2, 0, 32][2, 0, 33][2, 0, 27][2, 0, 39][2, 0, 38][2, 0, 37][2, 0, 36][2, 0, 35][2, 0, 34][2, 0, 28][2, 0, 25][2, 0, 24][2, 0, 23][2, 0, 20]
CLK_DCM:MUX.MUXBUS20[4, 0, 46][4, 0, 50][4, 0, 51][4, 0, 52][4, 0, 53][4, 0, 47][4, 0, 59][4, 0, 58][4, 0, 57][4, 0, 56][4, 0, 55][4, 0, 54][4, 0, 48][4, 0, 45][4, 0, 44][4, 0, 43][4, 0, 40]
CLK_DCM:MUX.MUXBUS21[4, 2, 46][4, 2, 50][4, 2, 51][4, 2, 52][4, 2, 53][4, 2, 47][4, 2, 59][4, 2, 58][4, 2, 57][4, 2, 56][4, 2, 55][4, 2, 54][4, 2, 48][4, 2, 45][4, 2, 44][4, 2, 43][4, 2, 40]
CLK_DCM:MUX.MUXBUS22[4, 0, 66][4, 0, 70][4, 0, 71][4, 0, 72][4, 0, 73][4, 0, 67][4, 0, 79][4, 0, 78][4, 0, 77][4, 0, 76][4, 0, 75][4, 0, 74][4, 0, 68][4, 0, 65][4, 0, 64][4, 0, 63][4, 0, 60]
CLK_DCM:MUX.MUXBUS23[4, 2, 66][4, 2, 70][4, 2, 71][4, 2, 72][4, 2, 73][4, 2, 67][4, 2, 79][4, 2, 78][4, 2, 77][4, 2, 76][4, 2, 75][4, 2, 74][4, 2, 68][4, 2, 65][4, 2, 64][4, 2, 63][4, 2, 60]
CLK_DCM:MUX.MUXBUS24[5, 0, 6][5, 0, 10][5, 0, 11][5, 0, 12][5, 0, 13][5, 0, 7][5, 0, 19][5, 0, 18][5, 0, 17][5, 0, 16][5, 0, 15][5, 0, 14][5, 0, 8][5, 0, 5][5, 0, 4][5, 0, 3][5, 0, 0]
CLK_DCM:MUX.MUXBUS25[5, 2, 6][5, 2, 10][5, 2, 11][5, 2, 12][5, 2, 13][5, 2, 7][5, 2, 19][5, 2, 18][5, 2, 17][5, 2, 16][5, 2, 15][5, 2, 14][5, 2, 8][5, 2, 5][5, 2, 4][5, 2, 3][5, 2, 0]
CLK_DCM:MUX.MUXBUS26[5, 0, 26][5, 0, 30][5, 0, 31][5, 0, 32][5, 0, 33][5, 0, 27][5, 0, 39][5, 0, 38][5, 0, 37][5, 0, 36][5, 0, 35][5, 0, 34][5, 0, 28][5, 0, 25][5, 0, 24][5, 0, 23][5, 0, 20]
CLK_DCM:MUX.MUXBUS27[5, 2, 26][5, 2, 30][5, 2, 31][5, 2, 32][5, 2, 33][5, 2, 27][5, 2, 39][5, 2, 38][5, 2, 37][5, 2, 36][5, 2, 35][5, 2, 34][5, 2, 28][5, 2, 25][5, 2, 24][5, 2, 23][5, 2, 20]
CLK_DCM:MUX.MUXBUS28[5, 0, 46][5, 0, 50][5, 0, 51][5, 0, 52][5, 0, 53][5, 0, 47][5, 0, 59][5, 0, 58][5, 0, 57][5, 0, 56][5, 0, 55][5, 0, 54][5, 0, 48][5, 0, 45][5, 0, 44][5, 0, 43][5, 0, 40]
CLK_DCM:MUX.MUXBUS29[5, 2, 46][5, 2, 50][5, 2, 51][5, 2, 52][5, 2, 53][5, 2, 47][5, 2, 59][5, 2, 58][5, 2, 57][5, 2, 56][5, 2, 55][5, 2, 54][5, 2, 48][5, 2, 45][5, 2, 44][5, 2, 43][5, 2, 40]
CLK_DCM:MUX.MUXBUS3[2, 2, 26][2, 2, 30][2, 2, 31][2, 2, 32][2, 2, 33][2, 2, 27][2, 2, 39][2, 2, 38][2, 2, 37][2, 2, 36][2, 2, 35][2, 2, 34][2, 2, 28][2, 2, 25][2, 2, 24][2, 2, 23][2, 2, 20]
CLK_DCM:MUX.MUXBUS30[5, 0, 66][5, 0, 70][5, 0, 71][5, 0, 72][5, 0, 73][5, 0, 67][5, 0, 79][5, 0, 78][5, 0, 77][5, 0, 76][5, 0, 75][5, 0, 74][5, 0, 68][5, 0, 65][5, 0, 64][5, 0, 63][5, 0, 60]
CLK_DCM:MUX.MUXBUS31[5, 2, 66][5, 2, 70][5, 2, 71][5, 2, 72][5, 2, 73][5, 2, 67][5, 2, 79][5, 2, 78][5, 2, 77][5, 2, 76][5, 2, 75][5, 2, 74][5, 2, 68][5, 2, 65][5, 2, 64][5, 2, 63][5, 2, 60]
CLK_DCM:MUX.MUXBUS4[2, 0, 46][2, 0, 50][2, 0, 51][2, 0, 52][2, 0, 53][2, 0, 47][2, 0, 59][2, 0, 58][2, 0, 57][2, 0, 56][2, 0, 55][2, 0, 54][2, 0, 48][2, 0, 45][2, 0, 44][2, 0, 43][2, 0, 40]
CLK_DCM:MUX.MUXBUS5[2, 2, 46][2, 2, 50][2, 2, 51][2, 2, 52][2, 2, 53][2, 2, 47][2, 2, 59][2, 2, 58][2, 2, 57][2, 2, 56][2, 2, 55][2, 2, 54][2, 2, 48][2, 2, 45][2, 2, 44][2, 2, 43][2, 2, 40]
CLK_DCM:MUX.MUXBUS6[2, 0, 66][2, 0, 70][2, 0, 71][2, 0, 72][2, 0, 73][2, 0, 67][2, 0, 79][2, 0, 78][2, 0, 77][2, 0, 76][2, 0, 75][2, 0, 74][2, 0, 68][2, 0, 65][2, 0, 64][2, 0, 63][2, 0, 60]
CLK_DCM:MUX.MUXBUS7[2, 2, 66][2, 2, 70][2, 2, 71][2, 2, 72][2, 2, 73][2, 2, 67][2, 2, 79][2, 2, 78][2, 2, 77][2, 2, 76][2, 2, 75][2, 2, 74][2, 2, 68][2, 2, 65][2, 2, 64][2, 2, 63][2, 2, 60]
CLK_DCM:MUX.MUXBUS8[3, 0, 6][3, 0, 10][3, 0, 11][3, 0, 12][3, 0, 13][3, 0, 7][3, 0, 19][3, 0, 18][3, 0, 17][3, 0, 16][3, 0, 15][3, 0, 14][3, 0, 8][3, 0, 5][3, 0, 4][3, 0, 3][3, 0, 0]
CLK_DCM:MUX.MUXBUS9[3, 2, 6][3, 2, 10][3, 2, 11][3, 2, 12][3, 2, 13][3, 2, 7][3, 2, 19][3, 2, 18][3, 2, 17][3, 2, 16][3, 2, 15][3, 2, 14][3, 2, 8][3, 2, 5][3, 2, 4][3, 2, 3][3, 2, 0]
NONE00000000000000000
DCM000001000000111111
DCM100001000001011111
DCM200001000010011111
DCM300001000100011111
DCM400001001000011111
DCM500001010000011111
DCM600010000000111111
DCM700010000001011111
DCM800010000010011111
DCM900010000100011111
DCM1000010001000011111
DCM1100010010000011111
DCM1200100000000111111
DCM1300100000001011111
DCM1400100000010011111
DCM1500100000100011111
DCM1600100001000011111
DCM1700100010000011111
DCM1801000000000111111
DCM1901000000001011111
DCM2001000000010011111
DCM2101000000100011111
DCM2201000001000011111
DCM2301000010000011111
PASS10000100000011111

CLK_DCM_T

CLK_DCM_T bittile 0
RowColumn
CLK_DCM_T bittile 1
RowColumn
CLK_DCM_T bittile 2
RowColumn
012
0 CLK_DCM:MUX.MUXBUS30[10]-CLK_DCM:MUX.MUXBUS31[10]
1 CLK_DCM:MUX.MUXBUS30[9]-CLK_DCM:MUX.MUXBUS31[9]
2 CLK_DCM:MUX.MUXBUS30[8]-CLK_DCM:MUX.MUXBUS31[8]
3 CLK_DCM:MUX.MUXBUS30[7]-CLK_DCM:MUX.MUXBUS31[7]
4 CLK_DCM:MUX.MUXBUS30[6]-CLK_DCM:MUX.MUXBUS31[6]
5 CLK_DCM:MUX.MUXBUS30[5]-CLK_DCM:MUX.MUXBUS31[5]
6 CLK_DCM:MUX.MUXBUS30[12]-CLK_DCM:MUX.MUXBUS31[12]
7 CLK_DCM:MUX.MUXBUS30[13]-CLK_DCM:MUX.MUXBUS31[13]
8 CLK_DCM:MUX.MUXBUS30[14]-CLK_DCM:MUX.MUXBUS31[14]
9 CLK_DCM:MUX.MUXBUS30[15]-CLK_DCM:MUX.MUXBUS31[15]
10 ---
11 CLK_DCM:MUX.MUXBUS30[0]-CLK_DCM:MUX.MUXBUS31[0]
12 CLK_DCM:MUX.MUXBUS30[16]-CLK_DCM:MUX.MUXBUS31[16]
13 CLK_DCM:MUX.MUXBUS30[11]-CLK_DCM:MUX.MUXBUS31[11]
14 CLK_DCM:MUX.MUXBUS30[1]-CLK_DCM:MUX.MUXBUS31[1]
15 CLK_DCM:MUX.MUXBUS30[2]-CLK_DCM:MUX.MUXBUS31[2]
16 CLK_DCM:MUX.MUXBUS30[3]-CLK_DCM:MUX.MUXBUS31[3]
17 ---
18 ---
19 CLK_DCM:MUX.MUXBUS30[4]-CLK_DCM:MUX.MUXBUS31[4]
20 CLK_DCM:MUX.MUXBUS28[10]-CLK_DCM:MUX.MUXBUS29[10]
21 CLK_DCM:MUX.MUXBUS28[9]-CLK_DCM:MUX.MUXBUS29[9]
22 CLK_DCM:MUX.MUXBUS28[8]-CLK_DCM:MUX.MUXBUS29[8]
23 CLK_DCM:MUX.MUXBUS28[7]-CLK_DCM:MUX.MUXBUS29[7]
24 CLK_DCM:MUX.MUXBUS28[6]-CLK_DCM:MUX.MUXBUS29[6]
25 CLK_DCM:MUX.MUXBUS28[5]-CLK_DCM:MUX.MUXBUS29[5]
26 CLK_DCM:MUX.MUXBUS28[12]-CLK_DCM:MUX.MUXBUS29[12]
27 CLK_DCM:MUX.MUXBUS28[13]-CLK_DCM:MUX.MUXBUS29[13]
28 CLK_DCM:MUX.MUXBUS28[14]-CLK_DCM:MUX.MUXBUS29[14]
29 CLK_DCM:MUX.MUXBUS28[15]-CLK_DCM:MUX.MUXBUS29[15]
30 ---
31 CLK_DCM:MUX.MUXBUS28[0]-CLK_DCM:MUX.MUXBUS29[0]
32 CLK_DCM:MUX.MUXBUS28[16]-CLK_DCM:MUX.MUXBUS29[16]
33 CLK_DCM:MUX.MUXBUS28[11]-CLK_DCM:MUX.MUXBUS29[11]
34 CLK_DCM:MUX.MUXBUS28[1]-CLK_DCM:MUX.MUXBUS29[1]
35 CLK_DCM:MUX.MUXBUS28[2]-CLK_DCM:MUX.MUXBUS29[2]
36 CLK_DCM:MUX.MUXBUS28[3]-CLK_DCM:MUX.MUXBUS29[3]
37 ---
38 ---
39 CLK_DCM:MUX.MUXBUS28[4]-CLK_DCM:MUX.MUXBUS29[4]
40 CLK_DCM:MUX.MUXBUS26[10]-CLK_DCM:MUX.MUXBUS27[10]
41 CLK_DCM:MUX.MUXBUS26[9]-CLK_DCM:MUX.MUXBUS27[9]
42 CLK_DCM:MUX.MUXBUS26[8]-CLK_DCM:MUX.MUXBUS27[8]
43 CLK_DCM:MUX.MUXBUS26[7]-CLK_DCM:MUX.MUXBUS27[7]
44 CLK_DCM:MUX.MUXBUS26[6]-CLK_DCM:MUX.MUXBUS27[6]
45 CLK_DCM:MUX.MUXBUS26[5]-CLK_DCM:MUX.MUXBUS27[5]
46 CLK_DCM:MUX.MUXBUS26[12]-CLK_DCM:MUX.MUXBUS27[12]
47 CLK_DCM:MUX.MUXBUS26[13]-CLK_DCM:MUX.MUXBUS27[13]
48 CLK_DCM:MUX.MUXBUS26[14]-CLK_DCM:MUX.MUXBUS27[14]
49 CLK_DCM:MUX.MUXBUS26[15]-CLK_DCM:MUX.MUXBUS27[15]
50 ---
51 CLK_DCM:MUX.MUXBUS26[0]-CLK_DCM:MUX.MUXBUS27[0]
52 CLK_DCM:MUX.MUXBUS26[16]-CLK_DCM:MUX.MUXBUS27[16]
53 CLK_DCM:MUX.MUXBUS26[11]-CLK_DCM:MUX.MUXBUS27[11]
54 CLK_DCM:MUX.MUXBUS26[1]-CLK_DCM:MUX.MUXBUS27[1]
55 CLK_DCM:MUX.MUXBUS26[2]-CLK_DCM:MUX.MUXBUS27[2]
56 CLK_DCM:MUX.MUXBUS26[3]-CLK_DCM:MUX.MUXBUS27[3]
57 ---
58 ---
59 CLK_DCM:MUX.MUXBUS26[4]-CLK_DCM:MUX.MUXBUS27[4]
60 CLK_DCM:MUX.MUXBUS24[10]-CLK_DCM:MUX.MUXBUS25[10]
61 CLK_DCM:MUX.MUXBUS24[9]-CLK_DCM:MUX.MUXBUS25[9]
62 CLK_DCM:MUX.MUXBUS24[8]-CLK_DCM:MUX.MUXBUS25[8]
63 CLK_DCM:MUX.MUXBUS24[7]-CLK_DCM:MUX.MUXBUS25[7]
64 CLK_DCM:MUX.MUXBUS24[6]-CLK_DCM:MUX.MUXBUS25[6]
65 CLK_DCM:MUX.MUXBUS24[5]-CLK_DCM:MUX.MUXBUS25[5]
66 CLK_DCM:MUX.MUXBUS24[12]-CLK_DCM:MUX.MUXBUS25[12]
67 CLK_DCM:MUX.MUXBUS24[13]-CLK_DCM:MUX.MUXBUS25[13]
68 CLK_DCM:MUX.MUXBUS24[14]-CLK_DCM:MUX.MUXBUS25[14]
69 CLK_DCM:MUX.MUXBUS24[15]-CLK_DCM:MUX.MUXBUS25[15]
70 ---
71 CLK_DCM:MUX.MUXBUS24[0]-CLK_DCM:MUX.MUXBUS25[0]
72 CLK_DCM:MUX.MUXBUS24[16]-CLK_DCM:MUX.MUXBUS25[16]
73 CLK_DCM:MUX.MUXBUS24[11]-CLK_DCM:MUX.MUXBUS25[11]
74 CLK_DCM:MUX.MUXBUS24[1]-CLK_DCM:MUX.MUXBUS25[1]
75 CLK_DCM:MUX.MUXBUS24[2]-CLK_DCM:MUX.MUXBUS25[2]
76 CLK_DCM:MUX.MUXBUS24[3]-CLK_DCM:MUX.MUXBUS25[3]
77 ---
78 ---
79 CLK_DCM:MUX.MUXBUS24[4]-CLK_DCM:MUX.MUXBUS25[4]
CLK_DCM_T bittile 3
RowColumn
012
0 CLK_DCM:MUX.MUXBUS22[10]-CLK_DCM:MUX.MUXBUS23[10]
1 CLK_DCM:MUX.MUXBUS22[9]-CLK_DCM:MUX.MUXBUS23[9]
2 CLK_DCM:MUX.MUXBUS22[8]-CLK_DCM:MUX.MUXBUS23[8]
3 CLK_DCM:MUX.MUXBUS22[7]-CLK_DCM:MUX.MUXBUS23[7]
4 CLK_DCM:MUX.MUXBUS22[6]-CLK_DCM:MUX.MUXBUS23[6]
5 CLK_DCM:MUX.MUXBUS22[5]-CLK_DCM:MUX.MUXBUS23[5]
6 CLK_DCM:MUX.MUXBUS22[12]-CLK_DCM:MUX.MUXBUS23[12]
7 CLK_DCM:MUX.MUXBUS22[13]-CLK_DCM:MUX.MUXBUS23[13]
8 CLK_DCM:MUX.MUXBUS22[14]-CLK_DCM:MUX.MUXBUS23[14]
9 CLK_DCM:MUX.MUXBUS22[15]-CLK_DCM:MUX.MUXBUS23[15]
10 ---
11 CLK_DCM:MUX.MUXBUS22[0]-CLK_DCM:MUX.MUXBUS23[0]
12 CLK_DCM:MUX.MUXBUS22[16]-CLK_DCM:MUX.MUXBUS23[16]
13 CLK_DCM:MUX.MUXBUS22[11]-CLK_DCM:MUX.MUXBUS23[11]
14 CLK_DCM:MUX.MUXBUS22[1]-CLK_DCM:MUX.MUXBUS23[1]
15 CLK_DCM:MUX.MUXBUS22[2]-CLK_DCM:MUX.MUXBUS23[2]
16 CLK_DCM:MUX.MUXBUS22[3]-CLK_DCM:MUX.MUXBUS23[3]
17 ---
18 ---
19 CLK_DCM:MUX.MUXBUS22[4]-CLK_DCM:MUX.MUXBUS23[4]
20 CLK_DCM:MUX.MUXBUS20[10]-CLK_DCM:MUX.MUXBUS21[10]
21 CLK_DCM:MUX.MUXBUS20[9]-CLK_DCM:MUX.MUXBUS21[9]
22 CLK_DCM:MUX.MUXBUS20[8]-CLK_DCM:MUX.MUXBUS21[8]
23 CLK_DCM:MUX.MUXBUS20[7]-CLK_DCM:MUX.MUXBUS21[7]
24 CLK_DCM:MUX.MUXBUS20[6]-CLK_DCM:MUX.MUXBUS21[6]
25 CLK_DCM:MUX.MUXBUS20[5]-CLK_DCM:MUX.MUXBUS21[5]
26 CLK_DCM:MUX.MUXBUS20[12]-CLK_DCM:MUX.MUXBUS21[12]
27 CLK_DCM:MUX.MUXBUS20[13]-CLK_DCM:MUX.MUXBUS21[13]
28 CLK_DCM:MUX.MUXBUS20[14]-CLK_DCM:MUX.MUXBUS21[14]
29 CLK_DCM:MUX.MUXBUS20[15]-CLK_DCM:MUX.MUXBUS21[15]
30 ---
31 CLK_DCM:MUX.MUXBUS20[0]-CLK_DCM:MUX.MUXBUS21[0]
32 CLK_DCM:MUX.MUXBUS20[16]-CLK_DCM:MUX.MUXBUS21[16]
33 CLK_DCM:MUX.MUXBUS20[11]-CLK_DCM:MUX.MUXBUS21[11]
34 CLK_DCM:MUX.MUXBUS20[1]-CLK_DCM:MUX.MUXBUS21[1]
35 CLK_DCM:MUX.MUXBUS20[2]-CLK_DCM:MUX.MUXBUS21[2]
36 CLK_DCM:MUX.MUXBUS20[3]-CLK_DCM:MUX.MUXBUS21[3]
37 ---
38 ---
39 CLK_DCM:MUX.MUXBUS20[4]-CLK_DCM:MUX.MUXBUS21[4]
40 CLK_DCM:MUX.MUXBUS18[10]-CLK_DCM:MUX.MUXBUS19[10]
41 CLK_DCM:MUX.MUXBUS18[9]-CLK_DCM:MUX.MUXBUS19[9]
42 CLK_DCM:MUX.MUXBUS18[8]-CLK_DCM:MUX.MUXBUS19[8]
43 CLK_DCM:MUX.MUXBUS18[7]-CLK_DCM:MUX.MUXBUS19[7]
44 CLK_DCM:MUX.MUXBUS18[6]-CLK_DCM:MUX.MUXBUS19[6]
45 CLK_DCM:MUX.MUXBUS18[5]-CLK_DCM:MUX.MUXBUS19[5]
46 CLK_DCM:MUX.MUXBUS18[12]-CLK_DCM:MUX.MUXBUS19[12]
47 CLK_DCM:MUX.MUXBUS18[13]-CLK_DCM:MUX.MUXBUS19[13]
48 CLK_DCM:MUX.MUXBUS18[14]-CLK_DCM:MUX.MUXBUS19[14]
49 CLK_DCM:MUX.MUXBUS18[15]-CLK_DCM:MUX.MUXBUS19[15]
50 ---
51 CLK_DCM:MUX.MUXBUS18[0]-CLK_DCM:MUX.MUXBUS19[0]
52 CLK_DCM:MUX.MUXBUS18[16]-CLK_DCM:MUX.MUXBUS19[16]
53 CLK_DCM:MUX.MUXBUS18[11]-CLK_DCM:MUX.MUXBUS19[11]
54 CLK_DCM:MUX.MUXBUS18[1]-CLK_DCM:MUX.MUXBUS19[1]
55 CLK_DCM:MUX.MUXBUS18[2]-CLK_DCM:MUX.MUXBUS19[2]
56 CLK_DCM:MUX.MUXBUS18[3]-CLK_DCM:MUX.MUXBUS19[3]
57 ---
58 ---
59 CLK_DCM:MUX.MUXBUS18[4]-CLK_DCM:MUX.MUXBUS19[4]
60 CLK_DCM:MUX.MUXBUS16[10]-CLK_DCM:MUX.MUXBUS17[10]
61 CLK_DCM:MUX.MUXBUS16[9]-CLK_DCM:MUX.MUXBUS17[9]
62 CLK_DCM:MUX.MUXBUS16[8]-CLK_DCM:MUX.MUXBUS17[8]
63 CLK_DCM:MUX.MUXBUS16[7]-CLK_DCM:MUX.MUXBUS17[7]
64 CLK_DCM:MUX.MUXBUS16[6]-CLK_DCM:MUX.MUXBUS17[6]
65 CLK_DCM:MUX.MUXBUS16[5]-CLK_DCM:MUX.MUXBUS17[5]
66 CLK_DCM:MUX.MUXBUS16[12]-CLK_DCM:MUX.MUXBUS17[12]
67 CLK_DCM:MUX.MUXBUS16[13]-CLK_DCM:MUX.MUXBUS17[13]
68 CLK_DCM:MUX.MUXBUS16[14]-CLK_DCM:MUX.MUXBUS17[14]
69 CLK_DCM:MUX.MUXBUS16[15]-CLK_DCM:MUX.MUXBUS17[15]
70 ---
71 CLK_DCM:MUX.MUXBUS16[0]-CLK_DCM:MUX.MUXBUS17[0]
72 CLK_DCM:MUX.MUXBUS16[16]-CLK_DCM:MUX.MUXBUS17[16]
73 CLK_DCM:MUX.MUXBUS16[11]-CLK_DCM:MUX.MUXBUS17[11]
74 CLK_DCM:MUX.MUXBUS16[1]-CLK_DCM:MUX.MUXBUS17[1]
75 CLK_DCM:MUX.MUXBUS16[2]-CLK_DCM:MUX.MUXBUS17[2]
76 CLK_DCM:MUX.MUXBUS16[3]-CLK_DCM:MUX.MUXBUS17[3]
77 ---
78 ---
79 CLK_DCM:MUX.MUXBUS16[4]-CLK_DCM:MUX.MUXBUS17[4]
CLK_DCM_T bittile 4
RowColumn
012
0 CLK_DCM:MUX.MUXBUS14[10]-CLK_DCM:MUX.MUXBUS15[10]
1 CLK_DCM:MUX.MUXBUS14[9]-CLK_DCM:MUX.MUXBUS15[9]
2 CLK_DCM:MUX.MUXBUS14[8]-CLK_DCM:MUX.MUXBUS15[8]
3 CLK_DCM:MUX.MUXBUS14[7]-CLK_DCM:MUX.MUXBUS15[7]
4 CLK_DCM:MUX.MUXBUS14[6]-CLK_DCM:MUX.MUXBUS15[6]
5 CLK_DCM:MUX.MUXBUS14[5]-CLK_DCM:MUX.MUXBUS15[5]
6 CLK_DCM:MUX.MUXBUS14[12]-CLK_DCM:MUX.MUXBUS15[12]
7 CLK_DCM:MUX.MUXBUS14[13]-CLK_DCM:MUX.MUXBUS15[13]
8 CLK_DCM:MUX.MUXBUS14[14]-CLK_DCM:MUX.MUXBUS15[14]
9 CLK_DCM:MUX.MUXBUS14[15]-CLK_DCM:MUX.MUXBUS15[15]
10 ---
11 CLK_DCM:MUX.MUXBUS14[0]-CLK_DCM:MUX.MUXBUS15[0]
12 CLK_DCM:MUX.MUXBUS14[16]-CLK_DCM:MUX.MUXBUS15[16]
13 CLK_DCM:MUX.MUXBUS14[11]-CLK_DCM:MUX.MUXBUS15[11]
14 CLK_DCM:MUX.MUXBUS14[1]-CLK_DCM:MUX.MUXBUS15[1]
15 CLK_DCM:MUX.MUXBUS14[2]-CLK_DCM:MUX.MUXBUS15[2]
16 CLK_DCM:MUX.MUXBUS14[3]-CLK_DCM:MUX.MUXBUS15[3]
17 ---
18 ---
19 CLK_DCM:MUX.MUXBUS14[4]-CLK_DCM:MUX.MUXBUS15[4]
20 CLK_DCM:MUX.MUXBUS12[10]-CLK_DCM:MUX.MUXBUS13[10]
21 CLK_DCM:MUX.MUXBUS12[9]-CLK_DCM:MUX.MUXBUS13[9]
22 CLK_DCM:MUX.MUXBUS12[8]-CLK_DCM:MUX.MUXBUS13[8]
23 CLK_DCM:MUX.MUXBUS12[7]-CLK_DCM:MUX.MUXBUS13[7]
24 CLK_DCM:MUX.MUXBUS12[6]-CLK_DCM:MUX.MUXBUS13[6]
25 CLK_DCM:MUX.MUXBUS12[5]-CLK_DCM:MUX.MUXBUS13[5]
26 CLK_DCM:MUX.MUXBUS12[12]-CLK_DCM:MUX.MUXBUS13[12]
27 CLK_DCM:MUX.MUXBUS12[13]-CLK_DCM:MUX.MUXBUS13[13]
28 CLK_DCM:MUX.MUXBUS12[14]-CLK_DCM:MUX.MUXBUS13[14]
29 CLK_DCM:MUX.MUXBUS12[15]-CLK_DCM:MUX.MUXBUS13[15]
30 ---
31 CLK_DCM:MUX.MUXBUS12[0]-CLK_DCM:MUX.MUXBUS13[0]
32 CLK_DCM:MUX.MUXBUS12[16]-CLK_DCM:MUX.MUXBUS13[16]
33 CLK_DCM:MUX.MUXBUS12[11]-CLK_DCM:MUX.MUXBUS13[11]
34 CLK_DCM:MUX.MUXBUS12[1]-CLK_DCM:MUX.MUXBUS13[1]
35 CLK_DCM:MUX.MUXBUS12[2]-CLK_DCM:MUX.MUXBUS13[2]
36 CLK_DCM:MUX.MUXBUS12[3]-CLK_DCM:MUX.MUXBUS13[3]
37 ---
38 ---
39 CLK_DCM:MUX.MUXBUS12[4]-CLK_DCM:MUX.MUXBUS13[4]
40 CLK_DCM:MUX.MUXBUS10[10]-CLK_DCM:MUX.MUXBUS11[10]
41 CLK_DCM:MUX.MUXBUS10[9]-CLK_DCM:MUX.MUXBUS11[9]
42 CLK_DCM:MUX.MUXBUS10[8]-CLK_DCM:MUX.MUXBUS11[8]
43 CLK_DCM:MUX.MUXBUS10[7]-CLK_DCM:MUX.MUXBUS11[7]
44 CLK_DCM:MUX.MUXBUS10[6]-CLK_DCM:MUX.MUXBUS11[6]
45 CLK_DCM:MUX.MUXBUS10[5]-CLK_DCM:MUX.MUXBUS11[5]
46 CLK_DCM:MUX.MUXBUS10[12]-CLK_DCM:MUX.MUXBUS11[12]
47 CLK_DCM:MUX.MUXBUS10[13]-CLK_DCM:MUX.MUXBUS11[13]
48 CLK_DCM:MUX.MUXBUS10[14]-CLK_DCM:MUX.MUXBUS11[14]
49 CLK_DCM:MUX.MUXBUS10[15]-CLK_DCM:MUX.MUXBUS11[15]
50 ---
51 CLK_DCM:MUX.MUXBUS10[0]-CLK_DCM:MUX.MUXBUS11[0]
52 CLK_DCM:MUX.MUXBUS10[16]-CLK_DCM:MUX.MUXBUS11[16]
53 CLK_DCM:MUX.MUXBUS10[11]-CLK_DCM:MUX.MUXBUS11[11]
54 CLK_DCM:MUX.MUXBUS10[1]-CLK_DCM:MUX.MUXBUS11[1]
55 CLK_DCM:MUX.MUXBUS10[2]-CLK_DCM:MUX.MUXBUS11[2]
56 CLK_DCM:MUX.MUXBUS10[3]-CLK_DCM:MUX.MUXBUS11[3]
57 ---
58 ---
59 CLK_DCM:MUX.MUXBUS10[4]-CLK_DCM:MUX.MUXBUS11[4]
60 CLK_DCM:MUX.MUXBUS8[10]-CLK_DCM:MUX.MUXBUS9[10]
61 CLK_DCM:MUX.MUXBUS8[9]-CLK_DCM:MUX.MUXBUS9[9]
62 CLK_DCM:MUX.MUXBUS8[8]-CLK_DCM:MUX.MUXBUS9[8]
63 CLK_DCM:MUX.MUXBUS8[7]-CLK_DCM:MUX.MUXBUS9[7]
64 CLK_DCM:MUX.MUXBUS8[6]-CLK_DCM:MUX.MUXBUS9[6]
65 CLK_DCM:MUX.MUXBUS8[5]-CLK_DCM:MUX.MUXBUS9[5]
66 CLK_DCM:MUX.MUXBUS8[12]-CLK_DCM:MUX.MUXBUS9[12]
67 CLK_DCM:MUX.MUXBUS8[13]-CLK_DCM:MUX.MUXBUS9[13]
68 CLK_DCM:MUX.MUXBUS8[14]-CLK_DCM:MUX.MUXBUS9[14]
69 CLK_DCM:MUX.MUXBUS8[15]-CLK_DCM:MUX.MUXBUS9[15]
70 ---
71 CLK_DCM:MUX.MUXBUS8[0]-CLK_DCM:MUX.MUXBUS9[0]
72 CLK_DCM:MUX.MUXBUS8[16]-CLK_DCM:MUX.MUXBUS9[16]
73 CLK_DCM:MUX.MUXBUS8[11]-CLK_DCM:MUX.MUXBUS9[11]
74 CLK_DCM:MUX.MUXBUS8[1]-CLK_DCM:MUX.MUXBUS9[1]
75 CLK_DCM:MUX.MUXBUS8[2]-CLK_DCM:MUX.MUXBUS9[2]
76 CLK_DCM:MUX.MUXBUS8[3]-CLK_DCM:MUX.MUXBUS9[3]
77 ---
78 ---
79 CLK_DCM:MUX.MUXBUS8[4]-CLK_DCM:MUX.MUXBUS9[4]
CLK_DCM_T bittile 5
RowColumn
012
0 CLK_DCM:MUX.MUXBUS6[10]-CLK_DCM:MUX.MUXBUS7[10]
1 CLK_DCM:MUX.MUXBUS6[9]-CLK_DCM:MUX.MUXBUS7[9]
2 CLK_DCM:MUX.MUXBUS6[8]-CLK_DCM:MUX.MUXBUS7[8]
3 CLK_DCM:MUX.MUXBUS6[7]-CLK_DCM:MUX.MUXBUS7[7]
4 CLK_DCM:MUX.MUXBUS6[6]-CLK_DCM:MUX.MUXBUS7[6]
5 CLK_DCM:MUX.MUXBUS6[5]-CLK_DCM:MUX.MUXBUS7[5]
6 CLK_DCM:MUX.MUXBUS6[12]-CLK_DCM:MUX.MUXBUS7[12]
7 CLK_DCM:MUX.MUXBUS6[13]-CLK_DCM:MUX.MUXBUS7[13]
8 CLK_DCM:MUX.MUXBUS6[14]-CLK_DCM:MUX.MUXBUS7[14]
9 CLK_DCM:MUX.MUXBUS6[15]-CLK_DCM:MUX.MUXBUS7[15]
10 ---
11 CLK_DCM:MUX.MUXBUS6[0]-CLK_DCM:MUX.MUXBUS7[0]
12 CLK_DCM:MUX.MUXBUS6[16]-CLK_DCM:MUX.MUXBUS7[16]
13 CLK_DCM:MUX.MUXBUS6[11]-CLK_DCM:MUX.MUXBUS7[11]
14 CLK_DCM:MUX.MUXBUS6[1]-CLK_DCM:MUX.MUXBUS7[1]
15 CLK_DCM:MUX.MUXBUS6[2]-CLK_DCM:MUX.MUXBUS7[2]
16 CLK_DCM:MUX.MUXBUS6[3]-CLK_DCM:MUX.MUXBUS7[3]
17 ---
18 ---
19 CLK_DCM:MUX.MUXBUS6[4]-CLK_DCM:MUX.MUXBUS7[4]
20 CLK_DCM:MUX.MUXBUS4[10]-CLK_DCM:MUX.MUXBUS5[10]
21 CLK_DCM:MUX.MUXBUS4[9]-CLK_DCM:MUX.MUXBUS5[9]
22 CLK_DCM:MUX.MUXBUS4[8]-CLK_DCM:MUX.MUXBUS5[8]
23 CLK_DCM:MUX.MUXBUS4[7]-CLK_DCM:MUX.MUXBUS5[7]
24 CLK_DCM:MUX.MUXBUS4[6]-CLK_DCM:MUX.MUXBUS5[6]
25 CLK_DCM:MUX.MUXBUS4[5]-CLK_DCM:MUX.MUXBUS5[5]
26 CLK_DCM:MUX.MUXBUS4[12]-CLK_DCM:MUX.MUXBUS5[12]
27 CLK_DCM:MUX.MUXBUS4[13]-CLK_DCM:MUX.MUXBUS5[13]
28 CLK_DCM:MUX.MUXBUS4[14]-CLK_DCM:MUX.MUXBUS5[14]
29 CLK_DCM:MUX.MUXBUS4[15]-CLK_DCM:MUX.MUXBUS5[15]
30 ---
31 CLK_DCM:MUX.MUXBUS4[0]-CLK_DCM:MUX.MUXBUS5[0]
32 CLK_DCM:MUX.MUXBUS4[16]-CLK_DCM:MUX.MUXBUS5[16]
33 CLK_DCM:MUX.MUXBUS4[11]-CLK_DCM:MUX.MUXBUS5[11]
34 CLK_DCM:MUX.MUXBUS4[1]-CLK_DCM:MUX.MUXBUS5[1]
35 CLK_DCM:MUX.MUXBUS4[2]-CLK_DCM:MUX.MUXBUS5[2]
36 CLK_DCM:MUX.MUXBUS4[3]-CLK_DCM:MUX.MUXBUS5[3]
37 ---
38 ---
39 CLK_DCM:MUX.MUXBUS4[4]-CLK_DCM:MUX.MUXBUS5[4]
40 CLK_DCM:MUX.MUXBUS2[10]-CLK_DCM:MUX.MUXBUS3[10]
41 CLK_DCM:MUX.MUXBUS2[9]-CLK_DCM:MUX.MUXBUS3[9]
42 CLK_DCM:MUX.MUXBUS2[8]-CLK_DCM:MUX.MUXBUS3[8]
43 CLK_DCM:MUX.MUXBUS2[7]-CLK_DCM:MUX.MUXBUS3[7]
44 CLK_DCM:MUX.MUXBUS2[6]-CLK_DCM:MUX.MUXBUS3[6]
45 CLK_DCM:MUX.MUXBUS2[5]-CLK_DCM:MUX.MUXBUS3[5]
46 CLK_DCM:MUX.MUXBUS2[12]-CLK_DCM:MUX.MUXBUS3[12]
47 CLK_DCM:MUX.MUXBUS2[13]-CLK_DCM:MUX.MUXBUS3[13]
48 CLK_DCM:MUX.MUXBUS2[14]-CLK_DCM:MUX.MUXBUS3[14]
49 CLK_DCM:MUX.MUXBUS2[15]-CLK_DCM:MUX.MUXBUS3[15]
50 ---
51 CLK_DCM:MUX.MUXBUS2[0]-CLK_DCM:MUX.MUXBUS3[0]
52 CLK_DCM:MUX.MUXBUS2[16]-CLK_DCM:MUX.MUXBUS3[16]
53 CLK_DCM:MUX.MUXBUS2[11]-CLK_DCM:MUX.MUXBUS3[11]
54 CLK_DCM:MUX.MUXBUS2[1]-CLK_DCM:MUX.MUXBUS3[1]
55 CLK_DCM:MUX.MUXBUS2[2]-CLK_DCM:MUX.MUXBUS3[2]
56 CLK_DCM:MUX.MUXBUS2[3]-CLK_DCM:MUX.MUXBUS3[3]
57 ---
58 ---
59 CLK_DCM:MUX.MUXBUS2[4]-CLK_DCM:MUX.MUXBUS3[4]
60 CLK_DCM:MUX.MUXBUS0[10]-CLK_DCM:MUX.MUXBUS1[10]
61 CLK_DCM:MUX.MUXBUS0[9]-CLK_DCM:MUX.MUXBUS1[9]
62 CLK_DCM:MUX.MUXBUS0[8]-CLK_DCM:MUX.MUXBUS1[8]
63 CLK_DCM:MUX.MUXBUS0[7]-CLK_DCM:MUX.MUXBUS1[7]
64 CLK_DCM:MUX.MUXBUS0[6]-CLK_DCM:MUX.MUXBUS1[6]
65 CLK_DCM:MUX.MUXBUS0[5]-CLK_DCM:MUX.MUXBUS1[5]
66 CLK_DCM:MUX.MUXBUS0[12]-CLK_DCM:MUX.MUXBUS1[12]
67 CLK_DCM:MUX.MUXBUS0[13]-CLK_DCM:MUX.MUXBUS1[13]
68 CLK_DCM:MUX.MUXBUS0[14]-CLK_DCM:MUX.MUXBUS1[14]
69 CLK_DCM:MUX.MUXBUS0[15]-CLK_DCM:MUX.MUXBUS1[15]
70 ---
71 CLK_DCM:MUX.MUXBUS0[0]-CLK_DCM:MUX.MUXBUS1[0]
72 CLK_DCM:MUX.MUXBUS0[16]-CLK_DCM:MUX.MUXBUS1[16]
73 CLK_DCM:MUX.MUXBUS0[11]-CLK_DCM:MUX.MUXBUS1[11]
74 CLK_DCM:MUX.MUXBUS0[1]-CLK_DCM:MUX.MUXBUS1[1]
75 CLK_DCM:MUX.MUXBUS0[2]-CLK_DCM:MUX.MUXBUS1[2]
76 CLK_DCM:MUX.MUXBUS0[3]-CLK_DCM:MUX.MUXBUS1[3]
77 ---
78 ---
79 CLK_DCM:MUX.MUXBUS0[4]-CLK_DCM:MUX.MUXBUS1[4]
CLK_DCM:MUX.MUXBUS0[5, 0, 72][5, 0, 69][5, 0, 68][5, 0, 67][5, 0, 66][5, 0, 73][5, 0, 60][5, 0, 61][5, 0, 62][5, 0, 63][5, 0, 64][5, 0, 65][5, 0, 79][5, 0, 76][5, 0, 75][5, 0, 74][5, 0, 71]
CLK_DCM:MUX.MUXBUS1[5, 2, 72][5, 2, 69][5, 2, 68][5, 2, 67][5, 2, 66][5, 2, 73][5, 2, 60][5, 2, 61][5, 2, 62][5, 2, 63][5, 2, 64][5, 2, 65][5, 2, 79][5, 2, 76][5, 2, 75][5, 2, 74][5, 2, 71]
CLK_DCM:MUX.MUXBUS10[4, 0, 52][4, 0, 49][4, 0, 48][4, 0, 47][4, 0, 46][4, 0, 53][4, 0, 40][4, 0, 41][4, 0, 42][4, 0, 43][4, 0, 44][4, 0, 45][4, 0, 59][4, 0, 56][4, 0, 55][4, 0, 54][4, 0, 51]
CLK_DCM:MUX.MUXBUS11[4, 2, 52][4, 2, 49][4, 2, 48][4, 2, 47][4, 2, 46][4, 2, 53][4, 2, 40][4, 2, 41][4, 2, 42][4, 2, 43][4, 2, 44][4, 2, 45][4, 2, 59][4, 2, 56][4, 2, 55][4, 2, 54][4, 2, 51]
CLK_DCM:MUX.MUXBUS12[4, 0, 32][4, 0, 29][4, 0, 28][4, 0, 27][4, 0, 26][4, 0, 33][4, 0, 20][4, 0, 21][4, 0, 22][4, 0, 23][4, 0, 24][4, 0, 25][4, 0, 39][4, 0, 36][4, 0, 35][4, 0, 34][4, 0, 31]
CLK_DCM:MUX.MUXBUS13[4, 2, 32][4, 2, 29][4, 2, 28][4, 2, 27][4, 2, 26][4, 2, 33][4, 2, 20][4, 2, 21][4, 2, 22][4, 2, 23][4, 2, 24][4, 2, 25][4, 2, 39][4, 2, 36][4, 2, 35][4, 2, 34][4, 2, 31]
CLK_DCM:MUX.MUXBUS14[4, 0, 12][4, 0, 9][4, 0, 8][4, 0, 7][4, 0, 6][4, 0, 13][4, 0, 0][4, 0, 1][4, 0, 2][4, 0, 3][4, 0, 4][4, 0, 5][4, 0, 19][4, 0, 16][4, 0, 15][4, 0, 14][4, 0, 11]
CLK_DCM:MUX.MUXBUS15[4, 2, 12][4, 2, 9][4, 2, 8][4, 2, 7][4, 2, 6][4, 2, 13][4, 2, 0][4, 2, 1][4, 2, 2][4, 2, 3][4, 2, 4][4, 2, 5][4, 2, 19][4, 2, 16][4, 2, 15][4, 2, 14][4, 2, 11]
CLK_DCM:MUX.MUXBUS16[3, 0, 72][3, 0, 69][3, 0, 68][3, 0, 67][3, 0, 66][3, 0, 73][3, 0, 60][3, 0, 61][3, 0, 62][3, 0, 63][3, 0, 64][3, 0, 65][3, 0, 79][3, 0, 76][3, 0, 75][3, 0, 74][3, 0, 71]
CLK_DCM:MUX.MUXBUS17[3, 2, 72][3, 2, 69][3, 2, 68][3, 2, 67][3, 2, 66][3, 2, 73][3, 2, 60][3, 2, 61][3, 2, 62][3, 2, 63][3, 2, 64][3, 2, 65][3, 2, 79][3, 2, 76][3, 2, 75][3, 2, 74][3, 2, 71]
CLK_DCM:MUX.MUXBUS18[3, 0, 52][3, 0, 49][3, 0, 48][3, 0, 47][3, 0, 46][3, 0, 53][3, 0, 40][3, 0, 41][3, 0, 42][3, 0, 43][3, 0, 44][3, 0, 45][3, 0, 59][3, 0, 56][3, 0, 55][3, 0, 54][3, 0, 51]
CLK_DCM:MUX.MUXBUS19[3, 2, 52][3, 2, 49][3, 2, 48][3, 2, 47][3, 2, 46][3, 2, 53][3, 2, 40][3, 2, 41][3, 2, 42][3, 2, 43][3, 2, 44][3, 2, 45][3, 2, 59][3, 2, 56][3, 2, 55][3, 2, 54][3, 2, 51]
CLK_DCM:MUX.MUXBUS2[5, 0, 52][5, 0, 49][5, 0, 48][5, 0, 47][5, 0, 46][5, 0, 53][5, 0, 40][5, 0, 41][5, 0, 42][5, 0, 43][5, 0, 44][5, 0, 45][5, 0, 59][5, 0, 56][5, 0, 55][5, 0, 54][5, 0, 51]
CLK_DCM:MUX.MUXBUS20[3, 0, 32][3, 0, 29][3, 0, 28][3, 0, 27][3, 0, 26][3, 0, 33][3, 0, 20][3, 0, 21][3, 0, 22][3, 0, 23][3, 0, 24][3, 0, 25][3, 0, 39][3, 0, 36][3, 0, 35][3, 0, 34][3, 0, 31]
CLK_DCM:MUX.MUXBUS21[3, 2, 32][3, 2, 29][3, 2, 28][3, 2, 27][3, 2, 26][3, 2, 33][3, 2, 20][3, 2, 21][3, 2, 22][3, 2, 23][3, 2, 24][3, 2, 25][3, 2, 39][3, 2, 36][3, 2, 35][3, 2, 34][3, 2, 31]
CLK_DCM:MUX.MUXBUS22[3, 0, 12][3, 0, 9][3, 0, 8][3, 0, 7][3, 0, 6][3, 0, 13][3, 0, 0][3, 0, 1][3, 0, 2][3, 0, 3][3, 0, 4][3, 0, 5][3, 0, 19][3, 0, 16][3, 0, 15][3, 0, 14][3, 0, 11]
CLK_DCM:MUX.MUXBUS23[3, 2, 12][3, 2, 9][3, 2, 8][3, 2, 7][3, 2, 6][3, 2, 13][3, 2, 0][3, 2, 1][3, 2, 2][3, 2, 3][3, 2, 4][3, 2, 5][3, 2, 19][3, 2, 16][3, 2, 15][3, 2, 14][3, 2, 11]
CLK_DCM:MUX.MUXBUS24[2, 0, 72][2, 0, 69][2, 0, 68][2, 0, 67][2, 0, 66][2, 0, 73][2, 0, 60][2, 0, 61][2, 0, 62][2, 0, 63][2, 0, 64][2, 0, 65][2, 0, 79][2, 0, 76][2, 0, 75][2, 0, 74][2, 0, 71]
CLK_DCM:MUX.MUXBUS25[2, 2, 72][2, 2, 69][2, 2, 68][2, 2, 67][2, 2, 66][2, 2, 73][2, 2, 60][2, 2, 61][2, 2, 62][2, 2, 63][2, 2, 64][2, 2, 65][2, 2, 79][2, 2, 76][2, 2, 75][2, 2, 74][2, 2, 71]
CLK_DCM:MUX.MUXBUS26[2, 0, 52][2, 0, 49][2, 0, 48][2, 0, 47][2, 0, 46][2, 0, 53][2, 0, 40][2, 0, 41][2, 0, 42][2, 0, 43][2, 0, 44][2, 0, 45][2, 0, 59][2, 0, 56][2, 0, 55][2, 0, 54][2, 0, 51]
CLK_DCM:MUX.MUXBUS27[2, 2, 52][2, 2, 49][2, 2, 48][2, 2, 47][2, 2, 46][2, 2, 53][2, 2, 40][2, 2, 41][2, 2, 42][2, 2, 43][2, 2, 44][2, 2, 45][2, 2, 59][2, 2, 56][2, 2, 55][2, 2, 54][2, 2, 51]
CLK_DCM:MUX.MUXBUS28[2, 0, 32][2, 0, 29][2, 0, 28][2, 0, 27][2, 0, 26][2, 0, 33][2, 0, 20][2, 0, 21][2, 0, 22][2, 0, 23][2, 0, 24][2, 0, 25][2, 0, 39][2, 0, 36][2, 0, 35][2, 0, 34][2, 0, 31]
CLK_DCM:MUX.MUXBUS29[2, 2, 32][2, 2, 29][2, 2, 28][2, 2, 27][2, 2, 26][2, 2, 33][2, 2, 20][2, 2, 21][2, 2, 22][2, 2, 23][2, 2, 24][2, 2, 25][2, 2, 39][2, 2, 36][2, 2, 35][2, 2, 34][2, 2, 31]
CLK_DCM:MUX.MUXBUS3[5, 2, 52][5, 2, 49][5, 2, 48][5, 2, 47][5, 2, 46][5, 2, 53][5, 2, 40][5, 2, 41][5, 2, 42][5, 2, 43][5, 2, 44][5, 2, 45][5, 2, 59][5, 2, 56][5, 2, 55][5, 2, 54][5, 2, 51]
CLK_DCM:MUX.MUXBUS30[2, 0, 12][2, 0, 9][2, 0, 8][2, 0, 7][2, 0, 6][2, 0, 13][2, 0, 0][2, 0, 1][2, 0, 2][2, 0, 3][2, 0, 4][2, 0, 5][2, 0, 19][2, 0, 16][2, 0, 15][2, 0, 14][2, 0, 11]
CLK_DCM:MUX.MUXBUS31[2, 2, 12][2, 2, 9][2, 2, 8][2, 2, 7][2, 2, 6][2, 2, 13][2, 2, 0][2, 2, 1][2, 2, 2][2, 2, 3][2, 2, 4][2, 2, 5][2, 2, 19][2, 2, 16][2, 2, 15][2, 2, 14][2, 2, 11]
CLK_DCM:MUX.MUXBUS4[5, 0, 32][5, 0, 29][5, 0, 28][5, 0, 27][5, 0, 26][5, 0, 33][5, 0, 20][5, 0, 21][5, 0, 22][5, 0, 23][5, 0, 24][5, 0, 25][5, 0, 39][5, 0, 36][5, 0, 35][5, 0, 34][5, 0, 31]
CLK_DCM:MUX.MUXBUS5[5, 2, 32][5, 2, 29][5, 2, 28][5, 2, 27][5, 2, 26][5, 2, 33][5, 2, 20][5, 2, 21][5, 2, 22][5, 2, 23][5, 2, 24][5, 2, 25][5, 2, 39][5, 2, 36][5, 2, 35][5, 2, 34][5, 2, 31]
CLK_DCM:MUX.MUXBUS6[5, 0, 12][5, 0, 9][5, 0, 8][5, 0, 7][5, 0, 6][5, 0, 13][5, 0, 0][5, 0, 1][5, 0, 2][5, 0, 3][5, 0, 4][5, 0, 5][5, 0, 19][5, 0, 16][5, 0, 15][5, 0, 14][5, 0, 11]
CLK_DCM:MUX.MUXBUS7[5, 2, 12][5, 2, 9][5, 2, 8][5, 2, 7][5, 2, 6][5, 2, 13][5, 2, 0][5, 2, 1][5, 2, 2][5, 2, 3][5, 2, 4][5, 2, 5][5, 2, 19][5, 2, 16][5, 2, 15][5, 2, 14][5, 2, 11]
CLK_DCM:MUX.MUXBUS8[4, 0, 72][4, 0, 69][4, 0, 68][4, 0, 67][4, 0, 66][4, 0, 73][4, 0, 60][4, 0, 61][4, 0, 62][4, 0, 63][4, 0, 64][4, 0, 65][4, 0, 79][4, 0, 76][4, 0, 75][4, 0, 74][4, 0, 71]
CLK_DCM:MUX.MUXBUS9[4, 2, 72][4, 2, 69][4, 2, 68][4, 2, 67][4, 2, 66][4, 2, 73][4, 2, 60][4, 2, 61][4, 2, 62][4, 2, 63][4, 2, 64][4, 2, 65][4, 2, 79][4, 2, 76][4, 2, 75][4, 2, 74][4, 2, 71]
NONE00000000000000000
DCM000001000000111111
DCM100001000001011111
DCM200001000010011111
DCM300001000100011111
DCM400001001000011111
DCM500001010000011111
DCM600010000000111111
DCM700010000001011111
DCM800010000010011111
DCM900010000100011111
DCM1000010001000011111
DCM1100010010000011111
DCM1200100000000111111
DCM1300100000001011111
DCM1400100000010011111
DCM1500100000100011111
DCM1600100001000011111
DCM1700100010000011111
DCM1801000000000111111
DCM1901000000001011111
DCM2001000000010011111
DCM2101000000100011111
DCM2201000001000011111
DCM2301000010000011111
PASS10000100000011111

MGT clock repeater

HCLK_MGT_REPEATER bittile 0
RowColumn
0123456
0 -------
1 -------
2 -------
3 -------
4 -------
5 -------
6 -------
7 -------
8 -------
9 -------
10 -------
11 -------
12 ------HCLK_MGT_REPEATER:BUF.MGT0
13 ------HCLK_MGT_REPEATER:BUF.MGT1
HCLK_MGT_REPEATER:BUF.MGT0[0, 6, 12]
HCLK_MGT_REPEATER:BUF.MGT1[0, 6, 13]
Non-inverted[0]

Row clock terminators

HCLK_TERM_L

HCLK_TERM_L bittile 0
RowColumn
0123456
0 -------
1 -------
2 -------
3 -------
4 -------
5 -------
6 -------
7 -------
8 -------
9 -------
10 -------
11 -------
12 ------HCLK_TERM:HCLK_ENABLE[0]
13 ------HCLK_TERM:HCLK_ENABLE[1]
14 ------HCLK_TERM:HCLK_ENABLE[2]
HCLK_TERM:HCLK_ENABLE[0, 6, 14][0, 6, 13][0, 6, 12]
Non-inverted[2][1][0]

HCLK_TERM_R

HCLK_TERM_R bittile 0
RowColumn
0123456
0 -------
1 -------
2 -------
3 -------
4 -------
5 -------
6 -------
7 -------
8 -------
9 -------
10 -------
11 -------
12 ------HCLK_TERM:HCLK_ENABLE[0]
13 ------HCLK_TERM:HCLK_ENABLE[1]
14 ------HCLK_TERM:HCLK_ENABLE[2]
HCLK_TERM:HCLK_ENABLE[0, 6, 14][0, 6, 13][0, 6, 12]
Non-inverted[2][1][0]

IO clock nodes

HCLK_IOIS_LVDS

HCLK_IOIS_LVDS bittile 0
RowColumn
012345678910111213141516171819202122232425
0 --------------------------
1 --------------------------
2 --------------------------
3 --------------------------
4 --------------------------
5 --------------------------
6 --------------------------
7 --------------------------
8 --------------------------
9 --------------------------
10 --------------------------
11 --------------------------
12 ---LVDS:LVDSBIAS[9]-LVDS:LVDSBIAS[0]-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4--IDELAYCTRL:ENABLE--BUFR0:BUFR_DIVIDE[1]--IOCLK:BUF.IOCLK_N1-BUFR1:MUX.I[1]--BUFR1:BUFR_DIVIDE[1]RCLK:MUX.RCLK1[3]IDELAYCTRL:MUX.REFCLK[0]RCLK:MUX.RCLK0[3]
13 --LVDS:LVDSBIAS[3]LVDS:LVDSBIAS[5]---IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5--LVDS:LVDSBIAS[8]--BUFR0:BUFR_DIVIDE[2]IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1-BUFR1:MUX.I[0]IOCLK:VIOCLK_ENABLE[3]BUFR1:ENABLEBUFR1:BUFR_DIVIDE[2]RCLK:MUX.RCLK1[1]IDELAYCTRL:MUX.REFCLK[1]RCLK:MUX.RCLK0[1]
14 --LVDS:LVDSBIAS[7]LVDS:LVDSBIAS[4]-LVDS:LVDSBIAS[1]-IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-----BUFR0:BUFR_DIVIDE[3]IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0-BUFR0:MUX.I[0]-BUFR0:ENABLEBUFR1:BUFR_DIVIDE[3]RCLK:MUX.RCLK1[2]IDELAYCTRL:MUX.REFCLK[2]RCLK:MUX.RCLK0[2]
15 ---LVDS:LVDSBIAS[2]-LVDS:LVDSBIAS[6]-IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-----BUFR0:BUFR_DIVIDE[0]IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0-BUFR0:MUX.I[1]-IOCLK:BUF.RCLK0BUFR1:BUFR_DIVIDE[0]RCLK:MUX.RCLK1[0]IOCLK:BUF.RCLK1RCLK:MUX.RCLK0[0]
LVDS:LVDSBIAS[0, 3, 12][0, 11, 13][0, 2, 14][0, 5, 15][0, 3, 13][0, 3, 14][0, 2, 13][0, 3, 15][0, 5, 14][0, 5, 12]
Non-inverted[9][8][7][6][5][4][3][2][1][0]
BUFR0:ENABLE[0, 21, 14]
BUFR1:ENABLE[0, 21, 13]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
BUFR0:BUFR_DIVIDE[0, 14, 14][0, 14, 13][0, 14, 12][0, 14, 15]
BUFR1:BUFR_DIVIDE[0, 22, 14][0, 22, 13][0, 22, 12][0, 22, 15]
BYPASS0000
10001
20011
30101
40111
51001
61011
71101
81111
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
BUFR0:MUX.I[0, 19, 15][0, 19, 14]
BUFR1:MUX.I[0, 19, 12][0, 19, 13]
BUFIO000
CKINT001
CKINT110
BUFIO111
RCLK:MUX.RCLK0[0, 25, 12][0, 25, 14][0, 25, 13][0, 25, 15]
RCLK:MUX.RCLK1[0, 23, 12][0, 23, 14][0, 23, 13][0, 23, 15]
NONE0000
VRCLK_N00001
VRCLK00011
VRCLK_S00101
VRCLK_N11001
VRCLK11011
VRCLK_S11101
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_IOIS_DCI

HCLK_IOIS_DCI bittile 0
RowColumn
0123456789101112131415161718192021222324252627
0 ----------------------------
1 ----------------------------
2 ----------------------------
3 ----------------------------
4 ----------------------------
5 ----------------------------
6 ----------------------------
7 ----------------------------
8 ----------------------------
9 ----------------------------
10 ----------------------------
11 ----------------------------
12 -DCI:PREF[3]DCI:PMASK_TERM_VCC[4]-DCI:PMASK_TERM_VCC[0]DCI:QUIET-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4-DCI:NMASK_TERM_SPLIT[4]IDELAYCTRL:ENABLEDCI:NMASK_TERM_SPLIT[0]-BUFR0:BUFR_DIVIDE[1]--IOCLK:BUF.IOCLK_N1-BUFR1:MUX.I[1]--BUFR1:BUFR_DIVIDE[1]RCLK:MUX.RCLK1[3]IDELAYCTRL:MUX.REFCLK[0]RCLK:MUX.RCLK0[3]-DCI:NREF[1]
13 -DCI:PREF[2]--DCI:PMASK_TERM_VCC[1]DCI:TEST_ENABLE[1]-IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5-DCI:PMASK_TERM_SPLIT[0]-DCI:NMASK_TERM_SPLIT[1]-BUFR0:BUFR_DIVIDE[2]IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1-BUFR1:MUX.I[0]IOCLK:VIOCLK_ENABLE[3]BUFR1:ENABLEBUFR1:BUFR_DIVIDE[2]RCLK:MUX.RCLK1[1]IDELAYCTRL:MUX.REFCLK[1]RCLK:MUX.RCLK0[1]-DCI:LVDIV2[0]
14 DCI:ENABLEDCI:PREF[1]--DCI:PMASK_TERM_VCC[2]--IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-DCI:PMASK_TERM_SPLIT[1]DCI:PMASK_TERM_SPLIT[2]DCI:NMASK_TERM_SPLIT[2]-BUFR0:BUFR_DIVIDE[3]IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0-BUFR0:MUX.I[0]-BUFR0:ENABLEBUFR1:BUFR_DIVIDE[3]RCLK:MUX.RCLK1[2]IDELAYCTRL:MUX.REFCLK[2]RCLK:MUX.RCLK0[2]-DCI:LVDIV2[1]
15 DCI:TEST_ENABLE[0]DCI:PREF[0]--DCI:PMASK_TERM_VCC[3]--IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-DCI:PMASK_TERM_SPLIT[3]DCI:PMASK_TERM_SPLIT[4]DCI:NMASK_TERM_SPLIT[3]-BUFR0:BUFR_DIVIDE[0]IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0-BUFR0:MUX.I[1]-IOCLK:BUF.RCLK0BUFR1:BUFR_DIVIDE[0]RCLK:MUX.RCLK1[0]IOCLK:BUF.RCLK1RCLK:MUX.RCLK0[0]-DCI:NREF[0]
BUFR0:ENABLE[0, 21, 14]
BUFR1:ENABLE[0, 21, 13]
DCI:ENABLE[0, 0, 14]
DCI:QUIET[0, 5, 12]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
DCI:LVDIV2[0, 27, 14][0, 27, 13]
DCI:NREF[0, 27, 12][0, 27, 15]
DCI:TEST_ENABLE[0, 5, 13][0, 0, 15]
Non-inverted[1][0]
DCI:PREF[0, 1, 12][0, 1, 13][0, 1, 14][0, 1, 15]
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
DCI:NMASK_TERM_SPLIT[0, 10, 12][0, 12, 15][0, 12, 14][0, 12, 13][0, 12, 12]
DCI:PMASK_TERM_SPLIT[0, 11, 15][0, 10, 15][0, 11, 14][0, 10, 14][0, 10, 13]
DCI:PMASK_TERM_VCC[0, 2, 12][0, 4, 15][0, 4, 14][0, 4, 13][0, 4, 12]
Non-inverted[4][3][2][1][0]
BUFR0:BUFR_DIVIDE[0, 14, 14][0, 14, 13][0, 14, 12][0, 14, 15]
BUFR1:BUFR_DIVIDE[0, 22, 14][0, 22, 13][0, 22, 12][0, 22, 15]
BYPASS0000
10001
20011
30101
40111
51001
61011
71101
81111
BUFR0:MUX.I[0, 19, 15][0, 19, 14]
BUFR1:MUX.I[0, 19, 12][0, 19, 13]
BUFIO000
CKINT001
CKINT110
BUFIO111
RCLK:MUX.RCLK0[0, 25, 12][0, 25, 14][0, 25, 13][0, 25, 15]
RCLK:MUX.RCLK1[0, 23, 12][0, 23, 14][0, 23, 13][0, 23, 15]
NONE0000
VRCLK_N00001
VRCLK00011
VRCLK_S00101
VRCLK_N11001
VRCLK11011
VRCLK_S11101
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_CENTER

HCLK_CENTER bittile 0
RowColumn
0123456789101112131415161718192021222324252627
0 ----------------------------
1 ----------------------------
2 ----------------------------
3 ----------------------------
4 ----------------------------
5 ----------------------------
6 ----------------------------
7 ----------------------------
8 ----------------------------
9 ----------------------------
10 ----------------------------
11 ----------------------------
12 DCI:CASCADE_FROM_BELOWDCI:PREF[3]DCI:PMASK_TERM_VCC[4]-DCI:PMASK_TERM_VCC[0]DCI:QUIET-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4-DCI:NMASK_TERM_SPLIT[4]IDELAYCTRL:ENABLEDCI:NMASK_TERM_SPLIT[0]----IOCLK:BUF.IOCLK_N1------IDELAYCTRL:MUX.REFCLK[0]--DCI:NREF[1]
13 DCI:CASCADE_FROM_ABOVEDCI:PREF[2]--DCI:PMASK_TERM_VCC[1]DCI:TEST_ENABLE[1]-IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5-DCI:PMASK_TERM_SPLIT[0]-DCI:NMASK_TERM_SPLIT[1]--IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1--IOCLK:VIOCLK_ENABLE[3]---IDELAYCTRL:MUX.REFCLK[1]--DCI:LVDIV2[0]
14 DCI:ENABLEDCI:PREF[1]--DCI:PMASK_TERM_VCC[2]--IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-DCI:PMASK_TERM_SPLIT[1]DCI:PMASK_TERM_SPLIT[2]DCI:NMASK_TERM_SPLIT[2]--IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0------IDELAYCTRL:MUX.REFCLK[2]--DCI:LVDIV2[1]
15 DCI:TEST_ENABLE[0]DCI:PREF[0]--DCI:PMASK_TERM_VCC[3]--IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-DCI:PMASK_TERM_SPLIT[3]DCI:PMASK_TERM_SPLIT[4]DCI:NMASK_TERM_SPLIT[3]--IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0---IOCLK:BUF.RCLK0--IOCLK:BUF.RCLK1--DCI:NREF[0]
DCI:CASCADE_FROM_ABOVE[0, 0, 13]
DCI:CASCADE_FROM_BELOW[0, 0, 12]
DCI:ENABLE[0, 0, 14]
DCI:QUIET[0, 5, 12]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
DCI:LVDIV2[0, 27, 14][0, 27, 13]
DCI:NREF[0, 27, 12][0, 27, 15]
DCI:TEST_ENABLE[0, 5, 13][0, 0, 15]
Non-inverted[1][0]
DCI:PREF[0, 1, 12][0, 1, 13][0, 1, 14][0, 1, 15]
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
DCI:NMASK_TERM_SPLIT[0, 10, 12][0, 12, 15][0, 12, 14][0, 12, 13][0, 12, 12]
DCI:PMASK_TERM_SPLIT[0, 11, 15][0, 10, 15][0, 11, 14][0, 10, 14][0, 10, 13]
DCI:PMASK_TERM_VCC[0, 2, 12][0, 4, 15][0, 4, 14][0, 4, 13][0, 4, 12]
Non-inverted[4][3][2][1][0]
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_CENTER_ABOVE_CFG

HCLK_CENTER_ABOVE_CFG bittile 0
RowColumn
0123456789101112131415161718192021222324252627
0 ----------------------------
1 ----------------------------
2 ----------------------------
3 ----------------------------
4 ----------------------------
5 ----------------------------
6 ----------------------------
7 ----------------------------
8 ----------------------------
9 ----------------------------
10 ----------------------------
11 ----------------------------
12 -DCI:PREF[3]DCI:PMASK_TERM_VCC[4]-DCI:PMASK_TERM_VCC[0]DCI:QUIET-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4-DCI:NMASK_TERM_SPLIT[4]IDELAYCTRL:ENABLEDCI:NMASK_TERM_SPLIT[0]----IOCLK:BUF.IOCLK_N1------IDELAYCTRL:MUX.REFCLK[0]--DCI:NREF[1]
13 DCI:CASCADE_FROM_ABOVEDCI:PREF[2]--DCI:PMASK_TERM_VCC[1]DCI:TEST_ENABLE[1]-IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5-DCI:PMASK_TERM_SPLIT[0]-DCI:NMASK_TERM_SPLIT[1]--IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1--IOCLK:VIOCLK_ENABLE[3]---IDELAYCTRL:MUX.REFCLK[1]--DCI:LVDIV2[0]
14 DCI:ENABLEDCI:PREF[1]--DCI:PMASK_TERM_VCC[2]--IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-DCI:PMASK_TERM_SPLIT[1]DCI:PMASK_TERM_SPLIT[2]DCI:NMASK_TERM_SPLIT[2]--IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0------IDELAYCTRL:MUX.REFCLK[2]--DCI:LVDIV2[1]
15 DCI:TEST_ENABLE[0]DCI:PREF[0]--DCI:PMASK_TERM_VCC[3]--IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-DCI:PMASK_TERM_SPLIT[3]DCI:PMASK_TERM_SPLIT[4]DCI:NMASK_TERM_SPLIT[3]--IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0---IOCLK:BUF.RCLK0--IOCLK:BUF.RCLK1--DCI:NREF[0]
DCI:CASCADE_FROM_ABOVE[0, 0, 13]
DCI:ENABLE[0, 0, 14]
DCI:QUIET[0, 5, 12]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
DCI:LVDIV2[0, 27, 14][0, 27, 13]
DCI:NREF[0, 27, 12][0, 27, 15]
DCI:TEST_ENABLE[0, 5, 13][0, 0, 15]
Non-inverted[1][0]
DCI:PREF[0, 1, 12][0, 1, 13][0, 1, 14][0, 1, 15]
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
DCI:NMASK_TERM_SPLIT[0, 10, 12][0, 12, 15][0, 12, 14][0, 12, 13][0, 12, 12]
DCI:PMASK_TERM_SPLIT[0, 11, 15][0, 10, 15][0, 11, 14][0, 10, 14][0, 10, 13]
DCI:PMASK_TERM_VCC[0, 2, 12][0, 4, 15][0, 4, 14][0, 4, 13][0, 4, 12]
Non-inverted[4][3][2][1][0]
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_IOBDCM

HCLK_IOBDCM bittile 0
RowColumn
012345678910111213141516171819202122232425262728
0 -----------------------------
1 -----------------------------
2 -----------------------------
3 -----------------------------
4 -----------------------------
5 -----------------------------
6 -----------------------------
7 -----------------------------
8 -----------------------------
9 -----------------------------
10 -----------------------------
11 -----------------------------
12 -DCI:PREF[3]DCI:PMASK_TERM_VCC[4]-DCI:PMASK_TERM_VCC[0]DCI:QUIET-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4-DCI:NMASK_TERM_SPLIT[4]IDELAYCTRL:ENABLEDCI:NMASK_TERM_SPLIT[0]---HCLK_DCM_N:COMMON_MGTIOCLK:BUF.IOCLK_N1-HCLK_DCM_N:BUF.GIOB_U13-HCLK_DCM_N:BUF.HCLK_U0HCLK_DCM_N:BUF.GIOB_U9HCLK_DCM_N:BUF.GIOB_U8IDELAYCTRL:MUX.REFCLK[0]HCLK_DCM_N:BUF.GIOB_U6HCLK_DCM_N:BUF.HCLK_U7DCI:NREF[1]HCLK_DCM_N:BUF.GIOB_U5
13 -DCI:PREF[2]-HCLK_DCM_N:BUF.GIOB_U0DCI:PMASK_TERM_VCC[1]DCI:TEST_ENABLE[1]-IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5-DCI:PMASK_TERM_SPLIT[0]-DCI:NMASK_TERM_SPLIT[1]-HCLK_DCM_N:BUF.MGT_U0IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1-HCLK_DCM_N:BUF.GIOB_U3IOCLK:VIOCLK_ENABLE[3]HCLK_DCM_N:COMMON[2]HCLK_DCM_N:BUF.GIOB_U2HCLK_DCM_N:BUF.HCLK_U5IDELAYCTRL:MUX.REFCLK[1]HCLK_DCM_N:BUF.HCLK_U1HCLK_DCM_N:BUF.GIOB_U10DCI:LVDIV2[0]HCLK_DCM_N:BUF.GIOB_U4
14 DCI:ENABLEDCI:PREF[1]-HCLK_DCM_N:BUF.GIOB_U14DCI:PMASK_TERM_VCC[2]--IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-DCI:PMASK_TERM_SPLIT[1]DCI:PMASK_TERM_SPLIT[2]DCI:NMASK_TERM_SPLIT[2]-HCLK_DCM_N:COMMON[0]IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0-HCLK_DCM_N:BUF.HCLK_U4-HCLK_DCM_N:BUF.GIOB_U1HCLK_DCM_N:BUF.HCLK_U6HCLK_DCM_N:BUF.GIOB_U7IDELAYCTRL:MUX.REFCLK[2]HCLK_DCM_N:BUF.GIOB_U11HCLK_DCM_N:BUF.MGT_U1DCI:LVDIV2[1]-
15 DCI:TEST_ENABLE[0]DCI:PREF[0]-HCLK_DCM_N:BUF.GIOB_U15DCI:PMASK_TERM_VCC[3]--IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-DCI:PMASK_TERM_SPLIT[3]DCI:PMASK_TERM_SPLIT[4]DCI:NMASK_TERM_SPLIT[3]-HCLK_DCM_N:BUF.HCLK_U3IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0-HCLK_DCM_N:COMMON[1]-IOCLK:BUF.RCLK0HCLK_DCM_N:BUF.HCLK_U2HCLK_DCM_N:BUF.MGT_U3IOCLK:BUF.RCLK1HCLK_DCM_N:BUF.GIOB_U12HCLK_DCM_N:BUF.MGT_U2DCI:NREF[0]-
DCI:ENABLE[0, 0, 14]
DCI:QUIET[0, 5, 12]
HCLK_DCM_N:BUF.GIOB_U0[0, 3, 13]
HCLK_DCM_N:BUF.GIOB_U1[0, 21, 14]
HCLK_DCM_N:BUF.GIOB_U10[0, 26, 13]
HCLK_DCM_N:BUF.GIOB_U11[0, 25, 14]
HCLK_DCM_N:BUF.GIOB_U12[0, 25, 15]
HCLK_DCM_N:BUF.GIOB_U13[0, 19, 12]
HCLK_DCM_N:BUF.GIOB_U14[0, 3, 14]
HCLK_DCM_N:BUF.GIOB_U15[0, 3, 15]
HCLK_DCM_N:BUF.GIOB_U2[0, 22, 13]
HCLK_DCM_N:BUF.GIOB_U3[0, 19, 13]
HCLK_DCM_N:BUF.GIOB_U4[0, 28, 13]
HCLK_DCM_N:BUF.GIOB_U5[0, 28, 12]
HCLK_DCM_N:BUF.GIOB_U6[0, 25, 12]
HCLK_DCM_N:BUF.GIOB_U7[0, 23, 14]
HCLK_DCM_N:BUF.GIOB_U8[0, 23, 12]
HCLK_DCM_N:BUF.GIOB_U9[0, 22, 12]
HCLK_DCM_N:BUF.HCLK_U0[0, 21, 12]
HCLK_DCM_N:BUF.HCLK_U1[0, 25, 13]
HCLK_DCM_N:BUF.HCLK_U2[0, 22, 15]
HCLK_DCM_N:BUF.HCLK_U3[0, 14, 15]
HCLK_DCM_N:BUF.HCLK_U4[0, 19, 14]
HCLK_DCM_N:BUF.HCLK_U5[0, 23, 13]
HCLK_DCM_N:BUF.HCLK_U6[0, 22, 14]
HCLK_DCM_N:BUF.HCLK_U7[0, 26, 12]
HCLK_DCM_N:BUF.MGT_U0[0, 14, 13]
HCLK_DCM_N:BUF.MGT_U1[0, 26, 14]
HCLK_DCM_N:BUF.MGT_U2[0, 26, 15]
HCLK_DCM_N:BUF.MGT_U3[0, 23, 15]
HCLK_DCM_N:COMMON_MGT[0, 16, 12]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
DCI:LVDIV2[0, 27, 14][0, 27, 13]
DCI:NREF[0, 27, 12][0, 27, 15]
DCI:TEST_ENABLE[0, 5, 13][0, 0, 15]
Non-inverted[1][0]
DCI:PREF[0, 1, 12][0, 1, 13][0, 1, 14][0, 1, 15]
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
DCI:NMASK_TERM_SPLIT[0, 10, 12][0, 12, 15][0, 12, 14][0, 12, 13][0, 12, 12]
DCI:PMASK_TERM_SPLIT[0, 11, 15][0, 10, 15][0, 11, 14][0, 10, 14][0, 10, 13]
DCI:PMASK_TERM_VCC[0, 2, 12][0, 4, 15][0, 4, 14][0, 4, 13][0, 4, 12]
Non-inverted[4][3][2][1][0]
HCLK_DCM_N:COMMON[0, 21, 13][0, 19, 15][0, 14, 14]
Non-inverted[2][1][0]
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_DCMIOB

HCLK_DCMIOB bittile 0
RowColumn
012345678910111213141516171819202122232425262728
0 -----------------------------
1 -----------------------------
2 -----------------------------
3 -----------------------------
4 -----------------------------
5 -----------------------------
6 -----------------------------
7 -----------------------------
8 -----------------------------
9 -----------------------------
10 -----------------------------
11 -----------------------------
12 -DCI:PREF[3]DCI:PMASK_TERM_VCC[4]-DCI:PMASK_TERM_VCC[0]DCI:QUIET-IOCLK:BUF.HCLK0IOCLK:BUF.HCLK4-DCI:NMASK_TERM_SPLIT[4]IDELAYCTRL:ENABLEDCI:NMASK_TERM_SPLIT[0]---HCLK_DCM_S:COMMON_MGTIOCLK:BUF.IOCLK_N1-HCLK_DCM_S:BUF.GIOB_D13-HCLK_DCM_S:BUF.HCLK_D0HCLK_DCM_S:BUF.GIOB_D9HCLK_DCM_S:BUF.GIOB_D8IDELAYCTRL:MUX.REFCLK[0]HCLK_DCM_S:BUF.GIOB_D6HCLK_DCM_S:BUF.HCLK_D7DCI:NREF[1]HCLK_DCM_S:BUF.GIOB_D5
13 -DCI:PREF[2]-HCLK_DCM_S:BUF.GIOB_D0DCI:PMASK_TERM_VCC[1]DCI:TEST_ENABLE[1]-IOCLK:BUF.HCLK1IOCLK:BUF.HCLK5-DCI:PMASK_TERM_SPLIT[0]-DCI:NMASK_TERM_SPLIT[1]-HCLK_DCM_S:BUF.MGT_D0IOCLK:VIOCLK_ENABLE[0]-IOCLK:BUF.IOCLK_S1-HCLK_DCM_S:BUF.GIOB_D3IOCLK:VIOCLK_ENABLE[3]HCLK_DCM_S:COMMON[2]HCLK_DCM_S:BUF.GIOB_D2HCLK_DCM_S:BUF.HCLK_D5IDELAYCTRL:MUX.REFCLK[1]HCLK_DCM_S:BUF.HCLK_D1HCLK_DCM_S:BUF.GIOB_D10DCI:LVDIV2[0]HCLK_DCM_S:BUF.GIOB_D4
14 DCI:ENABLEDCI:PREF[1]-HCLK_DCM_S:BUF.GIOB_D14DCI:PMASK_TERM_VCC[2]--IOCLK:BUF.HCLK2IOCLK:BUF.HCLK6-DCI:PMASK_TERM_SPLIT[1]DCI:PMASK_TERM_SPLIT[2]DCI:NMASK_TERM_SPLIT[2]-HCLK_DCM_S:COMMON[0]IOCLK:VIOCLK_ENABLE[1]IOCLK:BUF.VIOCLK0IOCLK:BUF.IOCLK_S0-HCLK_DCM_S:BUF.HCLK_D4-HCLK_DCM_S:BUF.GIOB_D1HCLK_DCM_S:BUF.HCLK_D6HCLK_DCM_S:BUF.GIOB_D7IDELAYCTRL:MUX.REFCLK[2]HCLK_DCM_S:BUF.GIOB_D11HCLK_DCM_S:BUF.MGT_D1DCI:LVDIV2[1]-
15 DCI:TEST_ENABLE[0]DCI:PREF[0]-HCLK_DCM_S:BUF.GIOB_D15DCI:PMASK_TERM_VCC[3]--IOCLK:BUF.HCLK3IOCLK:BUF.HCLK7-DCI:PMASK_TERM_SPLIT[3]DCI:PMASK_TERM_SPLIT[4]DCI:NMASK_TERM_SPLIT[3]-HCLK_DCM_S:BUF.HCLK_D3IOCLK:VIOCLK_ENABLE[2]IOCLK:BUF.VIOCLK1IOCLK:BUF.IOCLK_N0-HCLK_DCM_S:COMMON[1]-IOCLK:BUF.RCLK0HCLK_DCM_S:BUF.HCLK_D2HCLK_DCM_S:BUF.MGT_D3IOCLK:BUF.RCLK1HCLK_DCM_S:BUF.GIOB_D12HCLK_DCM_S:BUF.MGT_D2DCI:NREF[0]-
DCI:ENABLE[0, 0, 14]
DCI:QUIET[0, 5, 12]
HCLK_DCM_S:BUF.GIOB_D0[0, 3, 13]
HCLK_DCM_S:BUF.GIOB_D1[0, 21, 14]
HCLK_DCM_S:BUF.GIOB_D10[0, 26, 13]
HCLK_DCM_S:BUF.GIOB_D11[0, 25, 14]
HCLK_DCM_S:BUF.GIOB_D12[0, 25, 15]
HCLK_DCM_S:BUF.GIOB_D13[0, 19, 12]
HCLK_DCM_S:BUF.GIOB_D14[0, 3, 14]
HCLK_DCM_S:BUF.GIOB_D15[0, 3, 15]
HCLK_DCM_S:BUF.GIOB_D2[0, 22, 13]
HCLK_DCM_S:BUF.GIOB_D3[0, 19, 13]
HCLK_DCM_S:BUF.GIOB_D4[0, 28, 13]
HCLK_DCM_S:BUF.GIOB_D5[0, 28, 12]
HCLK_DCM_S:BUF.GIOB_D6[0, 25, 12]
HCLK_DCM_S:BUF.GIOB_D7[0, 23, 14]
HCLK_DCM_S:BUF.GIOB_D8[0, 23, 12]
HCLK_DCM_S:BUF.GIOB_D9[0, 22, 12]
HCLK_DCM_S:BUF.HCLK_D0[0, 21, 12]
HCLK_DCM_S:BUF.HCLK_D1[0, 25, 13]
HCLK_DCM_S:BUF.HCLK_D2[0, 22, 15]
HCLK_DCM_S:BUF.HCLK_D3[0, 14, 15]
HCLK_DCM_S:BUF.HCLK_D4[0, 19, 14]
HCLK_DCM_S:BUF.HCLK_D5[0, 23, 13]
HCLK_DCM_S:BUF.HCLK_D6[0, 22, 14]
HCLK_DCM_S:BUF.HCLK_D7[0, 26, 12]
HCLK_DCM_S:BUF.MGT_D0[0, 14, 13]
HCLK_DCM_S:BUF.MGT_D1[0, 26, 14]
HCLK_DCM_S:BUF.MGT_D2[0, 26, 15]
HCLK_DCM_S:BUF.MGT_D3[0, 23, 15]
HCLK_DCM_S:COMMON_MGT[0, 16, 12]
IDELAYCTRL:ENABLE[0, 11, 12]
IOCLK:BUF.HCLK0[0, 7, 12]
IOCLK:BUF.HCLK1[0, 7, 13]
IOCLK:BUF.HCLK2[0, 7, 14]
IOCLK:BUF.HCLK3[0, 7, 15]
IOCLK:BUF.HCLK4[0, 8, 12]
IOCLK:BUF.HCLK5[0, 8, 13]
IOCLK:BUF.HCLK6[0, 8, 14]
IOCLK:BUF.HCLK7[0, 8, 15]
IOCLK:BUF.IOCLK_N0[0, 17, 15]
IOCLK:BUF.IOCLK_N1[0, 17, 12]
IOCLK:BUF.IOCLK_S0[0, 17, 14]
IOCLK:BUF.IOCLK_S1[0, 17, 13]
IOCLK:BUF.RCLK0[0, 21, 15]
IOCLK:BUF.RCLK1[0, 24, 15]
IOCLK:BUF.VIOCLK0[0, 16, 14]
IOCLK:BUF.VIOCLK1[0, 16, 15]
Non-inverted[0]
DCI:LVDIV2[0, 27, 14][0, 27, 13]
DCI:NREF[0, 27, 12][0, 27, 15]
DCI:TEST_ENABLE[0, 5, 13][0, 0, 15]
Non-inverted[1][0]
DCI:PREF[0, 1, 12][0, 1, 13][0, 1, 14][0, 1, 15]
IOCLK:VIOCLK_ENABLE[0, 20, 13][0, 15, 15][0, 15, 14][0, 15, 13]
Non-inverted[3][2][1][0]
DCI:NMASK_TERM_SPLIT[0, 10, 12][0, 12, 15][0, 12, 14][0, 12, 13][0, 12, 12]
DCI:PMASK_TERM_SPLIT[0, 11, 15][0, 10, 15][0, 11, 14][0, 10, 14][0, 10, 13]
DCI:PMASK_TERM_VCC[0, 2, 12][0, 4, 15][0, 4, 14][0, 4, 13][0, 4, 12]
Non-inverted[4][3][2][1][0]
HCLK_DCM_S:COMMON[0, 21, 13][0, 19, 15][0, 14, 14]
Non-inverted[2][1][0]
IDELAYCTRL:MUX.REFCLK[0, 24, 14][0, 24, 13][0, 24, 12]
HCLK0000
HCLK1001
HCLK2010
HCLK3011
HCLK4100
HCLK5101
HCLK6110
HCLK7111

HCLK_DCM

HCLK_DCM bittile 0
RowColumn
0123456789101112131415161718192021222324252627
0 ----------------------------
1 ----------------------------
2 ----------------------------
3 ----------------------------
4 ----------------------------
5 ----------------------------
6 ----------------------------
7 ----------------------------
8 ----------------------------
9 ----------------------------
10 ----------------------------
11 ----------------------------
12 ---HCLK_DCM:BUF.MGT_D2-HCLK_DCM:BUF.GIOB_U8----HCLK_DCM:BUF.GIOB_D13HCLK_DCM:BUF.GIOB_D15HCLK_DCM:BUF.GIOB_D9-HCLK_DCM:BUF.GIOB_U6-HCLK_DCM:BUF.GIOB_U10HCLK_DCM:BUF.GIOB_U4-HCLK_DCM:COMMON_HCLK_GIOB[0]HCLK_DCM:BUF.HCLK_D0HCLK_DCM:COMMON_HCLK_GIOB[1]HCLK_DCM:BUF.GIOB_D6-HCLK_DCM:BUF.GIOB_D1HCLK_DCM:BUF.HCLK_U1HCLK_DCM:BUF.GIOB_U3-
13 ---HCLK_DCM:BUF.GIOB_D10-HCLK_DCM:BUF.GIOB_U13----HCLK_DCM:BUF.GIOB_D12HCLK_DCM:BUF.GIOB_D14HCLK_DCM:BUF.MGT_D1-HCLK_DCM:BUF.GIOB_U2-HCLK_DCM:BUF.GIOB_U15HCLK_DCM:BUF.HCLK_U4--HCLK_DCM:BUF.HCLK_D4-HCLK_DCM:BUF.GIOB_D3HCLK_DCM:BUF.HCLK_D2HCLK_DCM:BUF.GIOB_D5HCLK_DCM:BUF.HCLK_U5HCLK_DCM:BUF.HCLK_U7HCLK_DCM:COMMON_HCLK_GIOB[2]
14 ---HCLK_DCM:BUF.MGT_D3-HCLK_DCM:BUF.GIOB_U9----HCLK_DCM:BUF.GIOB_U12HCLK_DCM:BUF.GIOB_U14HCLK_DCM:BUF.GIOB_D8-HCLK_DCM:BUF.HCLK_U6-HCLK_DCM:BUF.GIOB_U11HCLK_DCM:BUF.HCLK_U0--HCLK_DCM:BUF.GIOB_D0-HCLK_DCM:BUF.GIOB_D7HCLK_DCM:BUF.HCLK_D6HCLK_DCM:BUF.HCLK_D5HCLK_DCM:BUF.GIOB_U1HCLK_DCM:BUF.HCLK_U3HCLK_DCM:COMMON_MGT
15 ---HCLK_DCM:BUF.GIOB_D11-HCLK_DCM:BUF.MGT_U1----HCLK_DCM:BUF.MGT_U0HCLK_DCM:BUF.MGT_U2HCLK_DCM:BUF.MGT_D0-HCLK_DCM:BUF.HCLK_U2-HCLK_DCM:BUF.MGT_U3HCLK_DCM:BUF.GIOB_U0--HCLK_DCM:BUF.GIOB_D4HCLK_DCM:BUF.HCLK_D3HCLK_DCM:BUF.HCLK_D7HCLK_DCM:BUF.GIOB_D2HCLK_DCM:BUF.HCLK_D1HCLK_DCM:BUF.GIOB_U5HCLK_DCM:BUF.GIOB_U7HCLK_DCM:COMMON
HCLK_DCM:BUF.GIOB_D0[0, 20, 14]
HCLK_DCM:BUF.GIOB_D1[0, 24, 12]
HCLK_DCM:BUF.GIOB_D10[0, 3, 13]
HCLK_DCM:BUF.GIOB_D11[0, 3, 15]
HCLK_DCM:BUF.GIOB_D12[0, 10, 13]
HCLK_DCM:BUF.GIOB_D13[0, 10, 12]
HCLK_DCM:BUF.GIOB_D14[0, 11, 13]
HCLK_DCM:BUF.GIOB_D15[0, 11, 12]
HCLK_DCM:BUF.GIOB_D2[0, 23, 15]
HCLK_DCM:BUF.GIOB_D3[0, 22, 13]
HCLK_DCM:BUF.GIOB_D4[0, 20, 15]
HCLK_DCM:BUF.GIOB_D5[0, 24, 13]
HCLK_DCM:BUF.GIOB_D6[0, 22, 12]
HCLK_DCM:BUF.GIOB_D7[0, 22, 14]
HCLK_DCM:BUF.GIOB_D8[0, 12, 14]
HCLK_DCM:BUF.GIOB_D9[0, 12, 12]
HCLK_DCM:BUF.GIOB_U0[0, 17, 15]
HCLK_DCM:BUF.GIOB_U1[0, 25, 14]
HCLK_DCM:BUF.GIOB_U10[0, 16, 12]
HCLK_DCM:BUF.GIOB_U11[0, 16, 14]
HCLK_DCM:BUF.GIOB_U12[0, 10, 14]
HCLK_DCM:BUF.GIOB_U13[0, 5, 13]
HCLK_DCM:BUF.GIOB_U14[0, 11, 14]
HCLK_DCM:BUF.GIOB_U15[0, 16, 13]
HCLK_DCM:BUF.GIOB_U2[0, 14, 13]
HCLK_DCM:BUF.GIOB_U3[0, 26, 12]
HCLK_DCM:BUF.GIOB_U4[0, 17, 12]
HCLK_DCM:BUF.GIOB_U5[0, 25, 15]
HCLK_DCM:BUF.GIOB_U6[0, 14, 12]
HCLK_DCM:BUF.GIOB_U7[0, 26, 15]
HCLK_DCM:BUF.GIOB_U8[0, 5, 12]
HCLK_DCM:BUF.GIOB_U9[0, 5, 14]
HCLK_DCM:BUF.HCLK_D0[0, 20, 12]
HCLK_DCM:BUF.HCLK_D1[0, 24, 15]
HCLK_DCM:BUF.HCLK_D2[0, 23, 13]
HCLK_DCM:BUF.HCLK_D3[0, 21, 15]
HCLK_DCM:BUF.HCLK_D4[0, 20, 13]
HCLK_DCM:BUF.HCLK_D5[0, 24, 14]
HCLK_DCM:BUF.HCLK_D6[0, 23, 14]
HCLK_DCM:BUF.HCLK_D7[0, 22, 15]
HCLK_DCM:BUF.HCLK_U0[0, 17, 14]
HCLK_DCM:BUF.HCLK_U1[0, 25, 12]
HCLK_DCM:BUF.HCLK_U2[0, 14, 15]
HCLK_DCM:BUF.HCLK_U3[0, 26, 14]
HCLK_DCM:BUF.HCLK_U4[0, 17, 13]
HCLK_DCM:BUF.HCLK_U5[0, 25, 13]
HCLK_DCM:BUF.HCLK_U6[0, 14, 14]
HCLK_DCM:BUF.HCLK_U7[0, 26, 13]
HCLK_DCM:BUF.MGT_D0[0, 12, 15]
HCLK_DCM:BUF.MGT_D1[0, 12, 13]
HCLK_DCM:BUF.MGT_D2[0, 3, 12]
HCLK_DCM:BUF.MGT_D3[0, 3, 14]
HCLK_DCM:BUF.MGT_U0[0, 10, 15]
HCLK_DCM:BUF.MGT_U1[0, 5, 15]
HCLK_DCM:BUF.MGT_U2[0, 11, 15]
HCLK_DCM:BUF.MGT_U3[0, 16, 15]
HCLK_DCM:COMMON[0, 27, 15]
HCLK_DCM:COMMON_MGT[0, 27, 14]
Non-inverted[0]
HCLK_DCM:COMMON_HCLK_GIOB[0, 27, 13][0, 21, 12][0, 19, 12]
Non-inverted[2][1][0]

HCLK_MGT

HCLK_MGT bittile 0
RowColumn
012345678910111213141516171819
0 --------------------
1 --------------------
2 --------------------
3 --------------------
4 --------------------
5 --------------------
6 --------------------
7 --------------------
8 --------------------
9 --------------------
10 --------------------
11 --------------------
12 -----------------HCLK_MGT:BUF.HCLK0-HCLK_MGT:BUF.HCLK4
13 -----------------HCLK_MGT:BUF.HCLK1-HCLK_MGT:BUF.HCLK5
14 --------HCLK_MGT:BUF.MGT0--------HCLK_MGT:BUF.HCLK2-HCLK_MGT:BUF.HCLK6
15 --------HCLK_MGT:BUF.MGT1--------HCLK_MGT:BUF.HCLK3-HCLK_MGT:BUF.HCLK7
HCLK_MGT:BUF.HCLK0[0, 17, 12]
HCLK_MGT:BUF.HCLK1[0, 17, 13]
HCLK_MGT:BUF.HCLK2[0, 17, 14]
HCLK_MGT:BUF.HCLK3[0, 17, 15]
HCLK_MGT:BUF.HCLK4[0, 19, 12]
HCLK_MGT:BUF.HCLK5[0, 19, 13]
HCLK_MGT:BUF.HCLK6[0, 19, 14]
HCLK_MGT:BUF.HCLK7[0, 19, 15]
HCLK_MGT:BUF.MGT0[0, 8, 14]
HCLK_MGT:BUF.MGT1[0, 8, 15]
Non-inverted[0]

I/O standard data

NameIOSTD:LVDSBIAS
[9][8][7][6][5][4][3][2][1][0]
LDT_250001000111
LVDSEXT_250001000111
LVDSEXT_25_DCI0001000111
LVDS_250001000111
LVDS_25_DCI0001000111
OFF0000000000
RSDS_250001000111
ULVDS_250001000111
NameIOSTD:DCI:LVDIV2
[1][0]
LVDCI_DV2_1500
LVDCI_DV2_1810
LVDCI_DV2_2501
OFF00
NameIOSTD:DCI:PMASK_TERM_VCC
[4][3][2][1][0]
GTLP_DCI00000
GTL_DCI00000
HSTL_III_DCI00000
HSTL_III_DCI_1800000
HSTL_IV_DCI00100
HSTL_IV_DCI_1800100
OFF00000
NameIOSTD:DCI:PMASK_TERM_SPLITIOSTD:DCI:NMASK_TERM_SPLIT
[4][3][2][1][0][4][3][2][1][0]
HSTL_II_DCI0100100010
HSTL_II_DCI_180100100110
HSTL_II_T_DCI0010000100
HSTL_II_T_DCI_180010001100
HSTL_I_DCI0000000000
HSTL_I_DCI_180000000000
LVDSEXT_25_DCI0000000000
LVDS_25_DCI0000000000
OFF0000000000
SSTL18_II_DCI0010001100
SSTL18_II_T_DCI0110001000
SSTL18_I_DCI0000000000
SSTL2_II_DCI0000001100
SSTL2_II_T_DCI0010001000
SSTL2_I_DCI0000000000