Multi-gigabit transceivers

Todo

document

Bitstream

GTP bittile 0
RowColumn
GTP bittile 1
RowColumn
GTP bittile 2
RowColumn
GTP bittile 3
RowColumn
GTP bittile 4
RowColumn
GTP bittile 5
RowColumn
GTP bittile 6
RowColumn
GTP bittile 7
RowColumn
GTP bittile 8
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP60[0]
GTP:SATA_MAX_INIT_1[0]
GTP:DRP40[0]
GTP:MASTER_DRP_EN_1
GTP:DRP20[0]
GTP:SATA_MAX_INIT_0[0]
GTP:DRP00[0]
GTP:MASTER_DRP_EN_0
1 ----------------------GTP:DRP60[1]
GTP:SATA_MAX_INIT_1[1]
GTP:DRP40[1]
GTP:POLARITY_DRP_EN_1
GTP:DRP20[1]
GTP:SATA_MAX_INIT_0[1]
GTP:DRP00[1]
GTP:POLARITY_DRP_EN_0
2 ----------------------GTP:DRP60[2]
GTP:SATA_MAX_INIT_1[2]
GTP:DRP40[2]
GTP:LOOPBACK_DRP_EN_1
GTP:DRP20[2]
GTP:SATA_MAX_INIT_0[2]
GTP:DRP00[2]
GTP:LOOPBACK_DRP_EN_0
3 ----------------------GTP:DRP60[3]
GTP:SATA_MAX_INIT_1[3]
GTP:DRP40[3]
GTP:TXDRIVE_DRP_EN_1
GTP:DRP20[3]
GTP:SATA_MAX_INIT_0[3]
GTP:DRP00[3]
GTP:TXDRIVE_DRP_EN_0
4 ----------------------GTP:DRP60[4]
GTP:SATA_MAX_INIT_1[4]
GTP:DRP40[4]
GTP:RXEQ_DRP_EN_1
GTP:DRP20[4]
GTP:SATA_MAX_INIT_0[4]
GTP:DRP00[4]
GTP:RXEQ_DRP_EN_0
5 ----------------------GTP:DRP60[5]
GTP:SATA_MAX_INIT_1[5]
GTP:DRP40[5]
GTP:PHASEALIGN_DRP_EN_1
GTP:DRP20[5]
GTP:SATA_MAX_INIT_0[5]
GTP:DRP00[5]
GTP:PHASEALIGN_DRP_EN_0
6 ----------------------GTP:DRP60[6]GTP:DRP40[6]
GTP:PLL_DRP_EN_1
GTP:DRP20[6]GTP:DRP00[6]
GTP:PLL_DRP_EN_0
7 ----------------------GTP:DRP60[7]GTP:DRP40[7]
GTP:RESET_DRP_EN_1
GTP:DRP20[7]GTP:DRP00[7]
GTP:RESET_DRP_EN_0
8 ----------------------GTP:DRP60[8]
GTP:SATA_MIN_INIT_1[0]
GTP:DRP40[8]
GTP:PDELIDLE_DRP_EN_1
GTP:DRP20[8]
GTP:SATA_MIN_INIT_0[0]
GTP:DRP00[8]
GTP:PDELIDLE_DRP_EN_0
9 ----------------------GTP:DRP60[9]
GTP:SATA_MIN_INIT_1[1]
GTP:DRP40[9]
GTP:PRBS_DRP_EN_1
GTP:DRP20[9]
GTP:SATA_MIN_INIT_0[1]
GTP:DRP00[9]
GTP:PRBS_DRP_EN_0
10 ----------------------GTP:DRP60[10]
GTP:SATA_MIN_INIT_1[2]
GTP:DRP40[10]GTP:DRP20[10]
GTP:SATA_MIN_INIT_0[2]
GTP:DRP00[10]
11 ----------------------GTP:DRP60[11]
GTP:SATA_MIN_INIT_1[3]
GTP:DRP40[11]GTP:DRP20[11]
GTP:SATA_MIN_INIT_0[3]
GTP:DRP00[11]
12 ----------------------GTP:DRP60[12]
GTP:SATA_MIN_INIT_1[4]
GTP:DRP40[12]GTP:DRP20[12]
GTP:SATA_MIN_INIT_0[4]
GTP:DRP00[12]
13 ----------------------GTP:DRP60[13]
GTP:SATA_MIN_INIT_1[5]
GTP:DRP40[13]GTP:DRP20[13]
GTP:SATA_MIN_INIT_0[5]
GTP:DRP00[13]
14 ----------------------GTP:DRP60[14]GTP:DRP40[14]GTP:DRP20[14]GTP:DRP00[14]
15 ----------------------GTP:DRP60[15]GTP:DRP40[15]GTP:DRP20[15]GTP:DRP00[15]
16 ----------------------GTP:DRP61[0]
GTP:SATA_MAX_WAKE_1[0]
GTP:A_TXPOLARITY_1
GTP:DRP41[0]
GTP:DRP21[0]
GTP:SATA_MAX_WAKE_0[0]
GTP:A_TXPOLARITY_0
GTP:DRP01[0]
17 ----------------------GTP:DRP61[1]
GTP:SATA_MAX_WAKE_1[1]
GTP:A_RXPOLARITY_1
GTP:DRP41[1]
GTP:DRP21[1]
GTP:SATA_MAX_WAKE_0[1]
GTP:A_RXPOLARITY_0
GTP:DRP01[1]
18 ----------------------GTP:DRP61[2]
GTP:SATA_MAX_WAKE_1[2]
GTP:A_LOOPBACK_1[0]
GTP:DRP41[2]
GTP:DRP21[2]
GTP:SATA_MAX_WAKE_0[2]
GTP:A_LOOPBACK_0[0]
GTP:DRP01[2]
19 ----------------------GTP:DRP61[3]
GTP:SATA_MAX_WAKE_1[3]
GTP:A_LOOPBACK_1[1]
GTP:DRP41[3]
GTP:DRP21[3]
GTP:SATA_MAX_WAKE_0[3]
GTP:A_LOOPBACK_0[1]
GTP:DRP01[3]
20 ----------------------GTP:DRP61[4]
GTP:SATA_MAX_WAKE_1[4]
GTP:A_LOOPBACK_1[2]
GTP:DRP41[4]
GTP:DRP21[4]
GTP:SATA_MAX_WAKE_0[4]
GTP:A_LOOPBACK_0[2]
GTP:DRP01[4]
21 ----------------------GTP:DRP61[5]
GTP:SATA_MAX_WAKE_1[5]
GTP:A_TXPREEMPHASIS_1[0]
GTP:DRP41[5]
GTP:DRP21[5]
GTP:SATA_MAX_WAKE_0[5]
GTP:A_TXPREEMPHASIS_0[0]
GTP:DRP01[5]
22 ----------------------GTP:DRP61[6]GTP:A_TXPREEMPHASIS_1[1]
GTP:DRP41[6]
GTP:DRP21[6]GTP:A_TXPREEMPHASIS_0[1]
GTP:DRP01[6]
23 ----------------------GTP:DRP61[7]GTP:A_TXPREEMPHASIS_1[2]
GTP:DRP41[7]
GTP:DRP21[7]GTP:A_TXPREEMPHASIS_0[2]
GTP:DRP01[7]
24 ----------------------GTP:DRP61[8]
GTP:SATA_MIN_WAKE_1[0]
GTP:DRP41[8]GTP:DRP21[8]
GTP:SATA_MIN_WAKE_0[0]
GTP:DRP01[8]
25 ----------------------GTP:DRP61[9]
GTP:SATA_MIN_WAKE_1[1]
GTP:A_TXBUFDIFFCTRL_1[0]
GTP:DRP41[9]
GTP:DRP21[9]
GTP:SATA_MIN_WAKE_0[1]
GTP:A_TXBUFDIFFCTRL_0[0]
GTP:DRP01[9]
26 ----------------------GTP:DRP61[10]
GTP:SATA_MIN_WAKE_1[2]
GTP:A_TXBUFDIFFCTRL_1[1]
GTP:DRP41[10]
GTP:DRP21[10]
GTP:SATA_MIN_WAKE_0[2]
GTP:A_TXBUFDIFFCTRL_0[1]
GTP:DRP01[10]
27 ----------------------GTP:DRP61[11]
GTP:SATA_MIN_WAKE_1[3]
GTP:A_TXBUFDIFFCTRL_1[2]
GTP:DRP41[11]
GTP:DRP21[11]
GTP:SATA_MIN_WAKE_0[3]
GTP:A_TXBUFDIFFCTRL_0[2]
GTP:DRP01[11]
28 ----------------------GTP:DRP61[12]
GTP:SATA_MIN_WAKE_1[4]
GTP:A_TXDIFFCTRL_1[0]
GTP:DRP41[12]
GTP:DRP21[12]
GTP:SATA_MIN_WAKE_0[4]
GTP:A_TXDIFFCTRL_0[0]
GTP:DRP01[12]
29 ----------------------GTP:DRP61[13]
GTP:SATA_MIN_WAKE_1[5]
GTP:A_TXDIFFCTRL_1[1]
GTP:DRP41[13]
GTP:DRP21[13]
GTP:SATA_MIN_WAKE_0[5]
GTP:A_TXDIFFCTRL_0[1]
GTP:DRP01[13]
30 ----------------------GTP:DRP61[14]GTP:A_TXDIFFCTRL_1[2]
GTP:DRP41[14]
GTP:DRP21[14]GTP:A_TXDIFFCTRL_0[2]
GTP:DRP01[14]
31 ----------------------GTP:DRP61[15]GTP:A_TXDIFFCTRL_1[3]
GTP:DRP41[15]
GTP:DRP21[15]GTP:A_TXDIFFCTRL_0[3]
GTP:DRP01[15]
32 ----------------------GTP:COM_BURST_VAL_1[0]
GTP:DRP62[0]
GTP:A_RXEQMIX_1[0]
GTP:DRP42[0]
GTP:COM_BURST_VAL_0[0]
GTP:DRP22[0]
GTP:A_RXEQMIX_0[0]
GTP:DRP02[0]
33 ----------------------GTP:COM_BURST_VAL_1[1]
GTP:DRP62[1]
GTP:A_RXEQMIX_1[1]
GTP:DRP42[1]
GTP:COM_BURST_VAL_0[1]
GTP:DRP22[1]
GTP:A_RXEQMIX_0[1]
GTP:DRP02[1]
34 ----------------------GTP:COM_BURST_VAL_1[2]
GTP:DRP62[2]
GTP:A_TXENPMAPHASEALIGN_1
GTP:DRP42[2]
GTP:COM_BURST_VAL_0[2]
GTP:DRP22[2]
GTP:A_TXENPMAPHASEALIGN_0
GTP:DRP02[2]
35 ----------------------GTP:COM_BURST_VAL_1[3]
GTP:DRP62[3]
GTP:A_TXPMASETPHASE_1
GTP:DRP42[3]
GTP:COM_BURST_VAL_0[3]
GTP:DRP22[3]
GTP:A_TXPMASETPHASE_0
GTP:DRP02[3]
36 ----------------------GTP:DRP62[4]
GTP:SATA_BURST_VAL_1[0]
GTP:A_RXENPMAPHASEALIGN_1
GTP:DRP42[4]
GTP:DRP22[4]
GTP:SATA_BURST_VAL_0[0]
GTP:A_RXENPMAPHASEALIGN_0
GTP:DRP02[4]
37 ----------------------GTP:DRP62[5]
GTP:SATA_BURST_VAL_1[1]
GTP:A_RXPMASETPHASE_1
GTP:DRP42[5]
GTP:DRP22[5]
GTP:SATA_BURST_VAL_0[1]
GTP:A_RXPMASETPHASE_0
GTP:DRP02[5]
38 ----------------------GTP:DRP62[6]
GTP:SATA_BURST_VAL_1[2]
GTP:A_PLLLKDETEN_1
GTP:DRP42[6]
GTP:DRP22[6]
GTP:SATA_BURST_VAL_0[2]
GTP:A_PLLLKDETEN_0
GTP:DRP02[6]
39 ----------------------GTP:DRP62[7]
GTP:SATA_IDLE_VAL_1[0]
GTP:A_RXCDRPHASERESET_1
GTP:DRP42[7]
GTP:DRP22[7]
GTP:SATA_IDLE_VAL_0[0]
GTP:A_RXCDRPHASERESET_0
GTP:DRP02[7]
40 ----------------------GTP:DRP62[8]
GTP:SATA_IDLE_VAL_1[1]
GTP:A_RXCDRFREQRESET_1
GTP:DRP42[8]
GTP:DRP22[8]
GTP:SATA_IDLE_VAL_0[1]
GTP:A_RXCDRFREQRESET_0
GTP:DRP02[8]
41 ----------------------GTP:DRP62[9]
GTP:SATA_IDLE_VAL_1[2]
GTP:A_RXCDRHOLD_1
GTP:DRP42[9]
GTP:DRP22[9]
GTP:SATA_IDLE_VAL_0[2]
GTP:A_RXCDRHOLD_0
GTP:DRP02[9]
42 ----------------------GTP:DRP62[10]
GTP:PLL_SATA_1
GTP:A_TXRESET_1
GTP:DRP42[10]
GTP:DRP22[10]
GTP:PLL_SATA_0
GTP:A_TXRESET_0
GTP:DRP02[10]
43 ----------------------GTP:DRP62[11]
GTP:RX_STATUS_FMT_1
GTP:A_RXRESET_1
GTP:DRP42[11]
GTP:DRP22[11]
GTP:RX_STATUS_FMT_0
GTP:A_RXRESET_0
GTP:DRP02[11]
44 ----------------------GTP:DRP62[12]GTP:A_RXBUFRESET_1
GTP:DRP42[12]
GTP:DRP22[12]GTP:A_RXBUFRESET_0
GTP:DRP02[12]
45 ----------------------GTP:DRP62[13]GTP:A_GTPRESET_1
GTP:DRP42[13]
GTP:DRP22[13]GTP:A_GTPRESET_0
GTP:DRP02[13]
46 ----------------------GTP:DRP62[14]GTP:A_RXCDRRESET_1
GTP:DRP42[14]
GTP:DRP22[14]GTP:A_RXCDRRESET_0
GTP:DRP02[14]
47 ----------------------GTP:DRP62[15]GTP:A_PLLPOWERDOWN_1
GTP:DRP42[15]
GTP:DRP22[15]GTP:A_PLLPOWERDOWN_0
GTP:DRP02[15]
48 ----------------------GTP:DRP63[0]
GTP:RXPRBSERR_LOOPBACK_1
GTP:A_RXPOWERDOWN_1[0]
GTP:DRP43[0]
GTP:DRP23[0]
GTP:RXPRBSERR_LOOPBACK_0
GTP:A_RXPOWERDOWN_0[0]
GTP:DRP03[0]
49 ----------------------GTP:DRP63[1]GTP:A_RXPOWERDOWN_1[1]
GTP:DRP43[1]
GTP:DRP23[1]GTP:A_RXPOWERDOWN_0[1]
GTP:DRP03[1]
50 ----------------------GTP:DRP63[2]GTP:A_TXPOWERDOWN_1[0]
GTP:DRP43[2]
GTP:DRP23[2]GTP:A_TXPOWERDOWN_0[0]
GTP:DRP03[2]
51 ----------------------GTP:DRP63[3]GTP:A_TXPOWERDOWN_1[1]
GTP:DRP43[3]
GTP:DRP23[3]GTP:A_TXPOWERDOWN_0[1]
GTP:DRP03[3]
52 ----------------------GTP:DRP63[4]GTP:A_TXELECIDLE_1
GTP:DRP43[4]
GTP:DRP23[4]GTP:A_TXELECIDLE_0
GTP:DRP03[4]
53 ----------------------GTP:DRP63[5]GTP:A_PRBSCNTRESET_1
GTP:DRP43[5]
GTP:DRP23[5]GTP:A_PRBSCNTRESET_0
GTP:DRP03[5]
54 ----------------------GTP:DRP63[6]GTP:A_TXENPRBSTST_1[0]
GTP:DRP43[6]
GTP:DRP23[6]GTP:A_TXENPRBSTST_0[0]
GTP:DRP03[6]
55 ----------------------GTP:DRP63[7]GTP:A_TXENPRBSTST_1[1]
GTP:DRP43[7]
GTP:DRP23[7]GTP:A_TXENPRBSTST_0[1]
GTP:DRP03[7]
56 ----------------------GTP:DRP63[8]GTP:A_TXENPRBSTST_1[2]
GTP:DRP43[8]
GTP:DRP23[8]GTP:A_TXENPRBSTST_0[2]
GTP:DRP03[8]
57 ----------------------GTP:DRP63[9]GTP:A_RXENPRBSTST_1[0]
GTP:DRP43[9]
GTP:DRP23[9]GTP:A_RXENPRBSTST_0[0]
GTP:DRP03[9]
58 ----------------------GTP:DRP63[10]GTP:A_RXENPRBSTST_1[1]
GTP:DRP43[10]
GTP:DRP23[10]GTP:A_RXENPRBSTST_0[1]
GTP:DRP03[10]
59 ----------------------GTP:DRP63[11]GTP:A_RXENPRBSTST_1[2]
GTP:DRP43[11]
GTP:DRP23[11]GTP:A_RXENPRBSTST_0[2]
GTP:DRP03[11]
60 ----------------------GTP:DRP63[12]GTP:A_TXPRBSFORCEERR_1
GTP:DRP43[12]
GTP:DRP23[12]GTP:A_TXPRBSFORCEERR_0
GTP:DRP03[12]
61 ----------------------GTP:DRP63[13]GTP:DRP43[13]GTP:DRP23[13]GTP:DRP03[13]
62 ----------------------GTP:DRP63[14]GTP:DRP43[14]GTP:DRP23[14]GTP:DRP03[14]
63 ----------------------GTP:DRP63[15]GTP:DRP43[15]GTP:DRP23[15]GTP:DRP03[15]
GTP bittile 9
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP64[0]
GTP:RX_BUFFER_USE_1
GTP:DRP44[0]GTP:DRP24[0]
GTP:RX_BUFFER_USE_0
GTP:DRP04[0]
1 ----------------------GTP:DRP64[1]
GTP:TX_BUFFER_USE_1
GTP:DRP44[1]GTP:DRP24[1]
GTP:TX_BUFFER_USE_0
GTP:DRP04[1]
2 ----------------------GTP:DRP64[2]
GTP:TXRX_INVERT_1[0]
GTP:DRP44[2]GTP:DRP24[2]
GTP:TXRX_INVERT_0[0]
GTP:DRP04[2]
3 ----------------------GTP:DRP64[3]
GTP:TXRX_INVERT_1[1]
GTP:DRP44[3]GTP:DRP24[3]
GTP:TXRX_INVERT_0[1]
GTP:DRP04[3]
4 ----------------------GTP:DRP64[4]
GTP:TXRX_INVERT_1[2]
GTP:DRP44[4]GTP:DRP24[4]
GTP:TXRX_INVERT_0[2]
GTP:DRP04[4]
5 ----------------------GTP:DRP64[5]
GTP:RX_LOSS_OF_SYNC_FSM_1
GTP:DRP44[5]GTP:DRP24[5]
GTP:RX_LOSS_OF_SYNC_FSM_0
GTP:DRP04[5]
6 ----------------------GTP:DRP64[6]
GTP:RX_LOS_THRESHOLD_1[0]
GTP:DRP44[6]GTP:DRP24[6]
GTP:RX_LOS_THRESHOLD_0[0]
GTP:DRP04[6]
7 ----------------------GTP:DRP64[7]
GTP:RX_LOS_THRESHOLD_1[1]
GTP:DRP44[7]GTP:DRP24[7]
GTP:RX_LOS_THRESHOLD_0[1]
GTP:DRP04[7]
8 ----------------------GTP:DRP64[8]
GTP:RX_LOS_THRESHOLD_1[2]
GTP:DRP44[8]GTP:DRP24[8]
GTP:RX_LOS_THRESHOLD_0[2]
GTP:DRP04[8]
9 ----------------------GTP:DRP64[9]
GTP:RX_LOS_INVALID_INCR_1[0]
GTP:DRP44[9]GTP:DRP24[9]
GTP:RX_LOS_INVALID_INCR_0[0]
GTP:DRP04[9]
10 ----------------------GTP:DRP64[10]
GTP:RX_LOS_INVALID_INCR_1[1]
GTP:DRP44[10]GTP:DRP24[10]
GTP:RX_LOS_INVALID_INCR_0[1]
GTP:DRP04[10]
11 ----------------------GTP:DRP64[11]
GTP:RX_LOS_INVALID_INCR_1[2]
GTP:DRP44[11]GTP:DRP24[11]
GTP:RX_LOS_INVALID_INCR_0[2]
GTP:DRP04[11]
12 ----------------------GTP:DRP64[12]
GTP:USR_CODE_ERR_CLR_1
GTP:DRP44[12]GTP:DRP24[12]
GTP:USR_CODE_ERR_CLR_0
GTP:DRP04[12]
13 ----------------------GTP:DRP64[13]GTP:DRP44[13]GTP:DRP24[13]GTP:DRP04[13]
14 ----------------------GTP:DRP64[14]GTP:DRP44[14]GTP:DRP24[14]GTP:DRP04[14]
15 ----------------------GTP:DRP64[15]GTP:DRP44[15]GTP:DRP24[15]GTP:DRP04[15]
16 ----------------------GTP:DRP65[0]
GTP:PMA_CDR_SCAN_1[0]
GTP:CLK25_DIVIDER_1[0]
GTP:DRP45[0]
GTP:DRP25[0]
GTP:PMA_CDR_SCAN_0[0]
GTP:CLK25_DIVIDER_0[0]
GTP:DRP05[0]
17 ----------------------GTP:DRP65[1]
GTP:PMA_CDR_SCAN_1[1]
GTP:CLK25_DIVIDER_1[1]
GTP:DRP45[1]
GTP:DRP25[1]
GTP:PMA_CDR_SCAN_0[1]
GTP:CLK25_DIVIDER_0[1]
GTP:DRP05[1]
18 ----------------------GTP:DRP65[2]
GTP:PMA_CDR_SCAN_1[2]
GTP:CLK25_DIVIDER_1[2]
GTP:DRP45[2]
GTP:DRP25[2]
GTP:PMA_CDR_SCAN_0[2]
GTP:CLK25_DIVIDER_0[2]
GTP:DRP05[2]
19 ----------------------GTP:DRP65[3]
GTP:PMA_CDR_SCAN_1[3]
GTP:DRP45[3]
GTP:OOB_CLK_DIVIDER_1[0]
GTP:DRP25[3]
GTP:PMA_CDR_SCAN_0[3]
GTP:DRP05[3]
GTP:OOB_CLK_DIVIDER_0[0]
20 ----------------------GTP:DRP65[4]
GTP:PMA_CDR_SCAN_1[4]
GTP:DRP45[4]
GTP:OOB_CLK_DIVIDER_1[1]
GTP:DRP25[4]
GTP:PMA_CDR_SCAN_0[4]
GTP:DRP05[4]
GTP:OOB_CLK_DIVIDER_0[1]
21 ----------------------GTP:DRP65[5]
GTP:PMA_CDR_SCAN_1[5]
GTP:DRP45[5]
GTP:OOB_CLK_DIVIDER_1[2]
GTP:DRP25[5]
GTP:PMA_CDR_SCAN_0[5]
GTP:DRP05[5]
GTP:OOB_CLK_DIVIDER_0[2]
22 ----------------------GTP:DRP65[6]
GTP:PMA_CDR_SCAN_1[6]
GTP:CDR_PH_ADJ_TIME_1[0]
GTP:DRP45[6]
GTP:DRP25[6]
GTP:PMA_CDR_SCAN_0[6]
GTP:CDR_PH_ADJ_TIME_0[0]
GTP:DRP05[6]
23 ----------------------GTP:DRP65[7]
GTP:PMA_CDR_SCAN_1[7]
GTP:CDR_PH_ADJ_TIME_1[1]
GTP:DRP45[7]
GTP:DRP25[7]
GTP:PMA_CDR_SCAN_0[7]
GTP:CDR_PH_ADJ_TIME_0[1]
GTP:DRP05[7]
24 ----------------------GTP:DRP65[8]
GTP:PMA_CDR_SCAN_1[8]
GTP:CDR_PH_ADJ_TIME_1[2]
GTP:DRP45[8]
GTP:DRP25[8]
GTP:PMA_CDR_SCAN_0[8]
GTP:CDR_PH_ADJ_TIME_0[2]
GTP:DRP05[8]
25 ----------------------GTP:DRP65[9]
GTP:PMA_CDR_SCAN_1[9]
GTP:CDR_PH_ADJ_TIME_1[3]
GTP:DRP45[9]
GTP:DRP25[9]
GTP:PMA_CDR_SCAN_0[9]
GTP:CDR_PH_ADJ_TIME_0[3]
GTP:DRP05[9]
26 ----------------------GTP:DRP65[10]
GTP:PMA_CDR_SCAN_1[10]
GTP:CDR_PH_ADJ_TIME_1[4]
GTP:DRP45[10]
GTP:DRP25[10]
GTP:PMA_CDR_SCAN_0[10]
GTP:CDR_PH_ADJ_TIME_0[4]
GTP:DRP05[10]
27 ----------------------GTP:DRP65[11]
GTP:PMA_CDR_SCAN_1[11]
GTP:DRP45[11]
GTP:RX_EN_IDLE_HOLD_CDR_1
GTP:DRP25[11]
GTP:PMA_CDR_SCAN_0[11]
GTP:DRP05[11]
GTP:RX_EN_IDLE_HOLD_CDR_0
28 ----------------------GTP:DRP65[12]
GTP:PMA_CDR_SCAN_1[12]
GTP:DRP45[12]
GTP:RX_EN_IDLE_RESET_FR_1
GTP:DRP25[12]
GTP:PMA_CDR_SCAN_0[12]
GTP:DRP05[12]
GTP:RX_EN_IDLE_RESET_FR_0
29 ----------------------GTP:DRP65[13]
GTP:PMA_CDR_SCAN_1[13]
GTP:DRP45[13]
GTP:RX_EN_IDLE_RESET_PH_1
GTP:DRP25[13]
GTP:PMA_CDR_SCAN_0[13]
GTP:DRP05[13]
GTP:RX_EN_IDLE_RESET_PH_0
30 ----------------------GTP:DRP65[14]
GTP:PMA_CDR_SCAN_1[14]
GTP:DRP45[14]
GTP:RX_EN_IDLE_RESET_BUF_1
GTP:DRP25[14]
GTP:PMA_CDR_SCAN_0[14]
GTP:DRP05[14]
GTP:RX_EN_IDLE_RESET_BUF_0
31 ----------------------GTP:DRP65[15]
GTP:PMA_CDR_SCAN_1[15]
GTP:DRP45[15]GTP:DRP25[15]
GTP:PMA_CDR_SCAN_0[15]
GTP:DRP05[15]
32 ----------------------GTP:DRP66[0]
GTP:PMA_CDR_SCAN_1[16]
GTP:DRP46[0]
GTP:PLL_COM_CFG_1[0]
GTP:DRP26[0]
GTP:PMA_CDR_SCAN_0[16]
GTP:DRP06[0]
GTP:PLL_COM_CFG_0[0]
33 ----------------------GTP:DRP66[1]
GTP:PMA_CDR_SCAN_1[17]
GTP:DRP46[1]
GTP:PLL_COM_CFG_1[1]
GTP:DRP26[1]
GTP:PMA_CDR_SCAN_0[17]
GTP:DRP06[1]
GTP:PLL_COM_CFG_0[1]
34 ----------------------GTP:DRP66[2]
GTP:PMA_CDR_SCAN_1[18]
GTP:DRP46[2]
GTP:PLL_COM_CFG_1[2]
GTP:DRP26[2]
GTP:PMA_CDR_SCAN_0[18]
GTP:DRP06[2]
GTP:PLL_COM_CFG_0[2]
35 ----------------------GTP:DRP66[3]
GTP:PMA_CDR_SCAN_1[19]
GTP:DRP46[3]
GTP:PLL_COM_CFG_1[3]
GTP:DRP26[3]
GTP:PMA_CDR_SCAN_0[19]
GTP:DRP06[3]
GTP:PLL_COM_CFG_0[3]
36 ----------------------GTP:DRP66[4]
GTP:PMA_CDR_SCAN_1[20]
GTP:DRP46[4]
GTP:PLL_COM_CFG_1[4]
GTP:DRP26[4]
GTP:PMA_CDR_SCAN_0[20]
GTP:DRP06[4]
GTP:PLL_COM_CFG_0[4]
37 ----------------------GTP:DRP66[5]
GTP:PMA_CDR_SCAN_1[21]
GTP:DRP46[5]
GTP:PLL_COM_CFG_1[5]
GTP:DRP26[5]
GTP:PMA_CDR_SCAN_0[21]
GTP:DRP06[5]
GTP:PLL_COM_CFG_0[5]
38 ----------------------GTP:DRP66[6]
GTP:PMA_CDR_SCAN_1[22]
GTP:DRP46[6]
GTP:PLL_COM_CFG_1[6]
GTP:DRP26[6]
GTP:PMA_CDR_SCAN_0[22]
GTP:DRP06[6]
GTP:PLL_COM_CFG_0[6]
39 ----------------------GTP:DRP66[7]
GTP:PMA_CDR_SCAN_1[23]
GTP:DRP46[7]
GTP:PLL_COM_CFG_1[7]
GTP:DRP26[7]
GTP:PMA_CDR_SCAN_0[23]
GTP:DRP06[7]
GTP:PLL_COM_CFG_0[7]
40 ----------------------GTP:DRP66[8]
GTP:PMA_CDR_SCAN_1[24]
GTP:DRP46[8]
GTP:PLL_COM_CFG_1[8]
GTP:DRP26[8]
GTP:PMA_CDR_SCAN_0[24]
GTP:DRP06[8]
GTP:PLL_COM_CFG_0[8]
41 ----------------------GTP:DRP66[9]
GTP:PMA_CDR_SCAN_1[25]
GTP:DRP46[9]
GTP:PLL_COM_CFG_1[9]
GTP:DRP26[9]
GTP:PMA_CDR_SCAN_0[25]
GTP:DRP06[9]
GTP:PLL_COM_CFG_0[9]
42 ----------------------GTP:DRP66[10]
GTP:PMA_CDR_SCAN_1[26]
GTP:DRP46[10]
GTP:PLL_COM_CFG_1[10]
GTP:DRP26[10]
GTP:PMA_CDR_SCAN_0[26]
GTP:DRP06[10]
GTP:PLL_COM_CFG_0[10]
43 ----------------------GTP:DRP66[11]
GTP:TX_IDLE_DELAY_1[0]
GTP:DRP46[11]
GTP:PLL_COM_CFG_1[11]
GTP:DRP26[11]
GTP:TX_IDLE_DELAY_0[0]
GTP:DRP06[11]
GTP:PLL_COM_CFG_0[11]
44 ----------------------GTP:DRP66[12]
GTP:TX_IDLE_DELAY_1[1]
GTP:DRP46[12]
GTP:PLL_COM_CFG_1[12]
GTP:DRP26[12]
GTP:TX_IDLE_DELAY_0[1]
GTP:DRP06[12]
GTP:PLL_COM_CFG_0[12]
45 ----------------------GTP:DRP66[13]
GTP:TX_IDLE_DELAY_1[2]
GTP:DRP46[13]
GTP:PLL_COM_CFG_1[13]
GTP:DRP26[13]
GTP:TX_IDLE_DELAY_0[2]
GTP:DRP06[13]
GTP:PLL_COM_CFG_0[13]
46 ----------------------GTP:DRP66[14]GTP:DRP46[14]
GTP:PLL_COM_CFG_1[14]
GTP:DRP26[14]GTP:DRP06[14]
GTP:PLL_COM_CFG_0[14]
47 ----------------------GTP:DRP66[15]GTP:DRP46[15]
GTP:PLL_COM_CFG_1[15]
GTP:DRP26[15]GTP:DRP06[15]
GTP:PLL_COM_CFG_0[15]
48 ----------------------GTP:DRP67[0]
GTP:TX_DETECT_RX_CFG_1[0]
GTP:DRP47[0]
GTP:PLL_COM_CFG_1[16]
GTP:DRP27[0]
GTP:TX_DETECT_RX_CFG_0[0]
GTP:DRP07[0]
GTP:PLL_COM_CFG_0[16]
49 ----------------------GTP:DRP67[1]
GTP:TX_DETECT_RX_CFG_1[1]
GTP:DRP47[1]
GTP:PLL_COM_CFG_1[17]
GTP:DRP27[1]
GTP:TX_DETECT_RX_CFG_0[1]
GTP:DRP07[1]
GTP:PLL_COM_CFG_0[17]
50 ----------------------GTP:DRP67[2]
GTP:TX_DETECT_RX_CFG_1[2]
GTP:DRP47[2]
GTP:PLL_COM_CFG_1[18]
GTP:DRP27[2]
GTP:TX_DETECT_RX_CFG_0[2]
GTP:DRP07[2]
GTP:PLL_COM_CFG_0[18]
51 ----------------------GTP:DRP67[3]
GTP:TX_DETECT_RX_CFG_1[3]
GTP:DRP47[3]
GTP:PLL_COM_CFG_1[19]
GTP:DRP27[3]
GTP:TX_DETECT_RX_CFG_0[3]
GTP:DRP07[3]
GTP:PLL_COM_CFG_0[19]
52 ----------------------GTP:DRP67[4]
GTP:TX_DETECT_RX_CFG_1[4]
GTP:DRP47[4]
GTP:PLL_COM_CFG_1[20]
GTP:DRP27[4]
GTP:TX_DETECT_RX_CFG_0[4]
GTP:DRP07[4]
GTP:PLL_COM_CFG_0[20]
53 ----------------------GTP:DRP67[5]
GTP:TX_DETECT_RX_CFG_1[5]
GTP:DRP47[5]
GTP:PLL_COM_CFG_1[21]
GTP:DRP27[5]
GTP:TX_DETECT_RX_CFG_0[5]
GTP:DRP07[5]
GTP:PLL_COM_CFG_0[21]
54 ----------------------GTP:DRP67[6]
GTP:TX_DETECT_RX_CFG_1[6]
GTP:DRP47[6]
GTP:PLL_COM_CFG_1[22]
GTP:DRP27[6]
GTP:TX_DETECT_RX_CFG_0[6]
GTP:DRP07[6]
GTP:PLL_COM_CFG_0[22]
55 ----------------------GTP:DRP67[7]
GTP:TX_DETECT_RX_CFG_1[7]
GTP:DRP47[7]
GTP:PLL_COM_CFG_1[23]
GTP:DRP27[7]
GTP:TX_DETECT_RX_CFG_0[7]
GTP:DRP07[7]
GTP:PLL_COM_CFG_0[23]
56 ----------------------GTP:DRP67[8]
GTP:TX_DETECT_RX_CFG_1[8]
GTP:DRP47[8]
GTP:RX_IDLE_HI_CNT_1[0]
GTP:DRP27[8]
GTP:TX_DETECT_RX_CFG_0[8]
GTP:DRP07[8]
GTP:RX_IDLE_HI_CNT_0[0]
57 ----------------------GTP:DRP67[9]
GTP:TX_DETECT_RX_CFG_1[9]
GTP:DRP47[9]
GTP:RX_IDLE_HI_CNT_1[1]
GTP:DRP27[9]
GTP:TX_DETECT_RX_CFG_0[9]
GTP:DRP07[9]
GTP:RX_IDLE_HI_CNT_0[1]
58 ----------------------GTP:DRP67[10]
GTP:TX_DETECT_RX_CFG_1[10]
GTP:DRP47[10]
GTP:RX_IDLE_HI_CNT_1[2]
GTP:DRP27[10]
GTP:TX_DETECT_RX_CFG_0[10]
GTP:DRP07[10]
GTP:RX_IDLE_HI_CNT_0[2]
59 ----------------------GTP:DRP67[11]
GTP:TX_DETECT_RX_CFG_1[11]
GTP:DRP47[11]
GTP:RX_IDLE_HI_CNT_1[3]
GTP:DRP27[11]
GTP:TX_DETECT_RX_CFG_0[11]
GTP:DRP07[11]
GTP:RX_IDLE_HI_CNT_0[3]
60 ----------------------GTP:DRP67[12]
GTP:TX_DETECT_RX_CFG_1[12]
GTP:DRP47[12]
GTP:RX_IDLE_LO_CNT_1[0]
GTP:DRP27[12]
GTP:TX_DETECT_RX_CFG_0[12]
GTP:DRP07[12]
GTP:RX_IDLE_LO_CNT_0[0]
61 ----------------------GTP:DRP67[13]
GTP:TX_DETECT_RX_CFG_1[13]
GTP:DRP47[13]
GTP:RX_IDLE_LO_CNT_1[1]
GTP:DRP27[13]
GTP:TX_DETECT_RX_CFG_0[13]
GTP:DRP07[13]
GTP:RX_IDLE_LO_CNT_0[1]
62 ----------------------GTP:DRP67[14]GTP:DRP47[14]
GTP:RX_IDLE_LO_CNT_1[2]
GTP:DRP27[14]GTP:DRP07[14]
GTP:RX_IDLE_LO_CNT_0[2]
63 ----------------------GTP:DRP67[15]GTP:DRP47[15]
GTP:RX_IDLE_LO_CNT_1[3]
GTP:DRP27[15]GTP:DRP07[15]
GTP:RX_IDLE_LO_CNT_0[3]
GTP bittile 10
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP68[0]GTP:DRP48[0]
GTP:RX_XCLK_SEL_1
GTP:DRP28[0]GTP:DRP08[0]
GTP:RX_XCLK_SEL_0
1 ----------------------GTP:DRP68[1]GTP:DRP48[1]
GTP:TX_XCLK_SEL_1
GTP:DRP28[1]GTP:DRP08[1]
GTP:TX_XCLK_SEL_0
2 ----------------------GTP:DRP68[2]GTP:DRP48[2]GTP:DRP28[2]GTP:DRP08[2]
3 ----------------------GTP:DRP68[3]GTP:DRP48[3]GTP:DRP28[3]GTP:DRP08[3]
4 ----------------------GTP:DRP68[4]GTP:DRP48[4]GTP:DRP28[4]GTP:DRP08[4]
5 ----------------------GTP:DRP68[5]GTP:DRP48[5]GTP:DRP28[5]GTP:DRP08[5]
6 ----------------------GTP:DRP68[6]GTP:DRP48[6]GTP:DRP28[6]GTP:DRP08[6]
7 ----------------------GTP:DRP68[7]GTP:DRP48[7]GTP:DRP28[7]GTP:DRP08[7]
8 ----------------------GTP:DRP68[8]GTP:DRP48[8]GTP:DRP28[8]GTP:DRP08[8]
9 ----------------------GTP:DRP68[9]GTP:DRP48[9]GTP:DRP28[9]GTP:DRP08[9]
10 ----------------------GTP:DRP68[10]GTP:DRP48[10]GTP:DRP28[10]GTP:DRP08[10]
11 ----------------------GTP:DRP68[11]GTP:DRP48[11]GTP:DRP28[11]GTP:DRP08[11]
12 ----------------------GTP:DRP68[12]GTP:DRP48[12]GTP:DRP28[12]GTP:DRP08[12]
13 ----------------------GTP:DRP68[13]GTP:DRP48[13]GTP:DRP28[13]GTP:DRP08[13]
14 ----------------------GTP:DRP68[14]GTP:DRP48[14]GTP:DRP28[14]GTP:DRP08[14]
15 ----------------------GTP:DRP68[15]GTP:DRP48[15]GTP:DRP28[15]GTP:DRP08[15]
16 ----------------------GTP:DRP69[0]GTP:DRP49[0]
GTP:TRANS_TIME_FROM_P2_1[0]
GTP:DRP29[0]GTP:DRP09[0]
GTP:TRANS_TIME_FROM_P2_0[0]
17 ----------------------GTP:DRP69[1]GTP:DRP49[1]
GTP:TRANS_TIME_FROM_P2_1[1]
GTP:DRP29[1]GTP:DRP09[1]
GTP:TRANS_TIME_FROM_P2_0[1]
18 ----------------------GTP:DRP69[2]GTP:DRP49[2]
GTP:TRANS_TIME_FROM_P2_1[2]
GTP:DRP29[2]GTP:DRP09[2]
GTP:TRANS_TIME_FROM_P2_0[2]
19 ----------------------GTP:DRP69[3]GTP:DRP49[3]
GTP:TRANS_TIME_FROM_P2_1[3]
GTP:DRP29[3]GTP:DRP09[3]
GTP:TRANS_TIME_FROM_P2_0[3]
20 ----------------------GTP:DRP69[4]GTP:DRP49[4]
GTP:TRANS_TIME_FROM_P2_1[4]
GTP:DRP29[4]GTP:DRP09[4]
GTP:TRANS_TIME_FROM_P2_0[4]
21 ----------------------GTP:DRP69[5]GTP:DRP49[5]
GTP:TRANS_TIME_FROM_P2_1[5]
GTP:DRP29[5]GTP:DRP09[5]
GTP:TRANS_TIME_FROM_P2_0[5]
22 ----------------------GTP:DRP69[6]GTP:DRP49[6]
GTP:TRANS_TIME_FROM_P2_1[6]
GTP:DRP29[6]GTP:DRP09[6]
GTP:TRANS_TIME_FROM_P2_0[6]
23 ----------------------GTP:DRP69[7]GTP:DRP49[7]
GTP:TRANS_TIME_FROM_P2_1[7]
GTP:DRP29[7]GTP:DRP09[7]
GTP:TRANS_TIME_FROM_P2_0[7]
24 ----------------------GTP:DRP69[8]GTP:DRP49[8]
GTP:TRANS_TIME_FROM_P2_1[8]
GTP:DRP29[8]GTP:DRP09[8]
GTP:TRANS_TIME_FROM_P2_0[8]
25 ----------------------GTP:DRP69[9]GTP:DRP49[9]
GTP:TRANS_TIME_FROM_P2_1[9]
GTP:DRP29[9]GTP:DRP09[9]
GTP:TRANS_TIME_FROM_P2_0[9]
26 ----------------------GTP:DRP69[10]GTP:DRP49[10]
GTP:TRANS_TIME_FROM_P2_1[10]
GTP:DRP29[10]GTP:DRP09[10]
GTP:TRANS_TIME_FROM_P2_0[10]
27 ----------------------GTP:DRP69[11]GTP:DRP49[11]
GTP:TRANS_TIME_FROM_P2_1[11]
GTP:DRP29[11]GTP:DRP09[11]
GTP:TRANS_TIME_FROM_P2_0[11]
28 ----------------------GTP:DRP69[12]GTP:DRP49[12]
GTP:GTP_CFG_PWRUP_1
GTP:DRP29[12]GTP:DRP09[12]
GTP:GTP_CFG_PWRUP_0
29 ----------------------GTP:DRP69[13]GTP:DRP49[13]GTP:DRP29[13]GTP:DRP09[13]
30 ----------------------GTP:DRP69[14]GTP:DRP49[14]GTP:DRP29[14]GTP:DRP09[14]
31 ----------------------GTP:DRP69[15]GTP:DRP49[15]GTP:DRP29[15]GTP:DRP09[15]
32 ----------------------GTP:DRP6A[0]GTP:DRP4A[0]
GTP:TRANS_TIME_NON_P2_1[0]
GTP:DRP2A[0]GTP:DRP0A[0]
GTP:TRANS_TIME_NON_P2_0[0]
33 ----------------------GTP:DRP6A[1]GTP:DRP4A[1]
GTP:TRANS_TIME_NON_P2_1[1]
GTP:DRP2A[1]GTP:DRP0A[1]
GTP:TRANS_TIME_NON_P2_0[1]
34 ----------------------GTP:DRP6A[2]GTP:DRP4A[2]
GTP:TRANS_TIME_NON_P2_1[2]
GTP:DRP2A[2]GTP:DRP0A[2]
GTP:TRANS_TIME_NON_P2_0[2]
35 ----------------------GTP:DRP6A[3]GTP:DRP4A[3]
GTP:TRANS_TIME_NON_P2_1[3]
GTP:DRP2A[3]GTP:DRP0A[3]
GTP:TRANS_TIME_NON_P2_0[3]
36 ----------------------GTP:DRP6A[4]GTP:DRP4A[4]
GTP:TRANS_TIME_NON_P2_1[4]
GTP:DRP2A[4]GTP:DRP0A[4]
GTP:TRANS_TIME_NON_P2_0[4]
37 ----------------------GTP:DRP6A[5]GTP:DRP4A[5]
GTP:TRANS_TIME_NON_P2_1[5]
GTP:DRP2A[5]GTP:DRP0A[5]
GTP:TRANS_TIME_NON_P2_0[5]
38 ----------------------GTP:DRP6A[6]GTP:DRP4A[6]
GTP:TRANS_TIME_NON_P2_1[6]
GTP:DRP2A[6]GTP:DRP0A[6]
GTP:TRANS_TIME_NON_P2_0[6]
39 ----------------------GTP:DRP6A[7]GTP:DRP4A[7]
GTP:TRANS_TIME_NON_P2_1[7]
GTP:DRP2A[7]GTP:DRP0A[7]
GTP:TRANS_TIME_NON_P2_0[7]
40 ----------------------GTP:DRP6A[8]GTP:DRP4A[8]GTP:DRP2A[8]GTP:DRP0A[8]
41 ----------------------GTP:DRP6A[9]GTP:DRP4A[9]GTP:DRP2A[9]GTP:DRP0A[9]
42 ----------------------GTP:DRP6A[10]GTP:DRP4A[10]GTP:DRP2A[10]GTP:DRP0A[10]
43 ----------------------GTP:DRP6A[11]GTP:DRP4A[11]GTP:DRP2A[11]GTP:DRP0A[11]
44 ----------------------GTP:DRP6A[12]GTP:DRP4A[12]GTP:DRP2A[12]GTP:DRP0A[12]
45 ----------------------GTP:DRP6A[13]GTP:DRP4A[13]GTP:DRP2A[13]GTP:DRP0A[13]
46 ----------------------GTP:DRP6A[14]GTP:DRP4A[14]GTP:DRP2A[14]GTP:DRP0A[14]
47 ----------------------GTP:DRP6A[15]GTP:DRP4A[15]GTP:DRP2A[15]GTP:DRP0A[15]
48 ----------------------GTP:DRP6B[0]GTP:DRP4B[0]
GTP:TRANS_TIME_TO_P2_1[0]
GTP:DRP2B[0]GTP:DRP0B[0]
GTP:TRANS_TIME_TO_P2_0[0]
49 ----------------------GTP:DRP6B[1]GTP:DRP4B[1]
GTP:TRANS_TIME_TO_P2_1[1]
GTP:DRP2B[1]GTP:DRP0B[1]
GTP:TRANS_TIME_TO_P2_0[1]
50 ----------------------GTP:DRP6B[2]GTP:DRP4B[2]
GTP:TRANS_TIME_TO_P2_1[2]
GTP:DRP2B[2]GTP:DRP0B[2]
GTP:TRANS_TIME_TO_P2_0[2]
51 ----------------------GTP:DRP6B[3]GTP:DRP4B[3]
GTP:TRANS_TIME_TO_P2_1[3]
GTP:DRP2B[3]GTP:DRP0B[3]
GTP:TRANS_TIME_TO_P2_0[3]
52 ----------------------GTP:DRP6B[4]GTP:DRP4B[4]
GTP:TRANS_TIME_TO_P2_1[4]
GTP:DRP2B[4]GTP:DRP0B[4]
GTP:TRANS_TIME_TO_P2_0[4]
53 ----------------------GTP:DRP6B[5]GTP:DRP4B[5]
GTP:TRANS_TIME_TO_P2_1[5]
GTP:DRP2B[5]GTP:DRP0B[5]
GTP:TRANS_TIME_TO_P2_0[5]
54 ----------------------GTP:DRP6B[6]GTP:DRP4B[6]
GTP:TRANS_TIME_TO_P2_1[6]
GTP:DRP2B[6]GTP:DRP0B[6]
GTP:TRANS_TIME_TO_P2_0[6]
55 ----------------------GTP:DRP6B[7]GTP:DRP4B[7]
GTP:TRANS_TIME_TO_P2_1[7]
GTP:DRP2B[7]GTP:DRP0B[7]
GTP:TRANS_TIME_TO_P2_0[7]
56 ----------------------GTP:DRP6B[8]GTP:DRP4B[8]
GTP:TRANS_TIME_TO_P2_1[8]
GTP:DRP2B[8]GTP:DRP0B[8]
GTP:TRANS_TIME_TO_P2_0[8]
57 ----------------------GTP:DRP6B[9]GTP:DRP4B[9]
GTP:TRANS_TIME_TO_P2_1[9]
GTP:DRP2B[9]GTP:DRP0B[9]
GTP:TRANS_TIME_TO_P2_0[9]
58 ----------------------GTP:DRP6B[10]GTP:DRP4B[10]GTP:DRP2B[10]GTP:DRP0B[10]
59 ----------------------GTP:DRP6B[11]GTP:DRP4B[11]GTP:DRP2B[11]GTP:DRP0B[11]
60 ----------------------GTP:DRP6B[12]GTP:DRP4B[12]GTP:DRP2B[12]GTP:DRP0B[12]
61 ----------------------GTP:DRP6B[13]GTP:DRP4B[13]GTP:DRP2B[13]GTP:DRP0B[13]
62 ----------------------GTP:DRP6B[14]GTP:DRP4B[14]GTP:DRP2B[14]GTP:DRP0B[14]
63 ----------------------GTP:DRP6B[15]GTP:DRP4B[15]GTP:DRP2B[15]GTP:DRP0B[15]
GTP bittile 11
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP6C[0]
GTP:TST_ATTR_1[0]
GTP:COMMA_10B_ENABLE_1[0]
GTP:DRP4C[0]
GTP:DRP2C[0]
GTP:TST_ATTR_0[0]
GTP:COMMA_10B_ENABLE_0[0]
GTP:DRP0C[0]
1 ----------------------GTP:DRP6C[1]
GTP:TST_ATTR_1[1]
GTP:COMMA_10B_ENABLE_1[1]
GTP:DRP4C[1]
GTP:DRP2C[1]
GTP:TST_ATTR_0[1]
GTP:COMMA_10B_ENABLE_0[1]
GTP:DRP0C[1]
2 ----------------------GTP:DRP6C[2]
GTP:TST_ATTR_1[2]
GTP:COMMA_10B_ENABLE_1[2]
GTP:DRP4C[2]
GTP:DRP2C[2]
GTP:TST_ATTR_0[2]
GTP:COMMA_10B_ENABLE_0[2]
GTP:DRP0C[2]
3 ----------------------GTP:DRP6C[3]
GTP:TST_ATTR_1[3]
GTP:COMMA_10B_ENABLE_1[3]
GTP:DRP4C[3]
GTP:DRP2C[3]
GTP:TST_ATTR_0[3]
GTP:COMMA_10B_ENABLE_0[3]
GTP:DRP0C[3]
4 ----------------------GTP:DRP6C[4]
GTP:TST_ATTR_1[4]
GTP:COMMA_10B_ENABLE_1[4]
GTP:DRP4C[4]
GTP:DRP2C[4]
GTP:TST_ATTR_0[4]
GTP:COMMA_10B_ENABLE_0[4]
GTP:DRP0C[4]
5 ----------------------GTP:DRP6C[5]
GTP:TST_ATTR_1[5]
GTP:COMMA_10B_ENABLE_1[5]
GTP:DRP4C[5]
GTP:DRP2C[5]
GTP:TST_ATTR_0[5]
GTP:COMMA_10B_ENABLE_0[5]
GTP:DRP0C[5]
6 ----------------------GTP:DRP6C[6]
GTP:TST_ATTR_1[6]
GTP:COMMA_10B_ENABLE_1[6]
GTP:DRP4C[6]
GTP:DRP2C[6]
GTP:TST_ATTR_0[6]
GTP:COMMA_10B_ENABLE_0[6]
GTP:DRP0C[6]
7 ----------------------GTP:DRP6C[7]
GTP:TST_ATTR_1[7]
GTP:COMMA_10B_ENABLE_1[7]
GTP:DRP4C[7]
GTP:DRP2C[7]
GTP:TST_ATTR_0[7]
GTP:COMMA_10B_ENABLE_0[7]
GTP:DRP0C[7]
8 ----------------------GTP:DRP6C[8]
GTP:TST_ATTR_1[8]
GTP:COMMA_10B_ENABLE_1[8]
GTP:DRP4C[8]
GTP:DRP2C[8]
GTP:TST_ATTR_0[8]
GTP:COMMA_10B_ENABLE_0[8]
GTP:DRP0C[8]
9 ----------------------GTP:DRP6C[9]
GTP:TST_ATTR_1[9]
GTP:COMMA_10B_ENABLE_1[9]
GTP:DRP4C[9]
GTP:DRP2C[9]
GTP:TST_ATTR_0[9]
GTP:COMMA_10B_ENABLE_0[9]
GTP:DRP0C[9]
10 ----------------------GTP:DRP6C[10]
GTP:TST_ATTR_1[10]
GTP:ALIGN_COMMA_WORD_1
GTP:DRP4C[10]
GTP:DRP2C[10]
GTP:TST_ATTR_0[10]
GTP:ALIGN_COMMA_WORD_0
GTP:DRP0C[10]
11 ----------------------GTP:DRP6C[11]
GTP:TST_ATTR_1[11]
GTP:DEC_VALID_COMMA_ONLY_1
GTP:DRP4C[11]
GTP:DRP2C[11]
GTP:TST_ATTR_0[11]
GTP:DEC_VALID_COMMA_ONLY_0
GTP:DRP0C[11]
12 ----------------------GTP:DRP6C[12]
GTP:TST_ATTR_1[12]
GTP:DEC_MCOMMA_DETECT_1
GTP:DRP4C[12]
GTP:DRP2C[12]
GTP:TST_ATTR_0[12]
GTP:DEC_MCOMMA_DETECT_0
GTP:DRP0C[12]
13 ----------------------GTP:DRP6C[13]
GTP:TST_ATTR_1[13]
GTP:DRP4C[13]
GTP:MCOMMA_DETECT_1
GTP:DRP2C[13]
GTP:TST_ATTR_0[13]
GTP:DRP0C[13]
GTP:MCOMMA_DETECT_0
14 ----------------------GTP:DRP6C[14]
GTP:TST_ATTR_1[14]
GTP:DEC_PCOMMA_DETECT_1
GTP:DRP4C[14]
GTP:DRP2C[14]
GTP:TST_ATTR_0[14]
GTP:DEC_PCOMMA_DETECT_0
GTP:DRP0C[14]
15 ----------------------GTP:DRP6C[15]
GTP:TST_ATTR_1[15]
GTP:DRP4C[15]
GTP:PCOMMA_DETECT_1
GTP:DRP2C[15]
GTP:TST_ATTR_0[15]
GTP:DRP0C[15]
GTP:PCOMMA_DETECT_0
16 ----------------------GTP:DRP6D[0]
GTP:TST_ATTR_1[16]
GTP:DRP4D[0]
GTP:MCOMMA_10B_VALUE_1[0]
GTP:DRP2D[0]
GTP:TST_ATTR_0[16]
GTP:DRP0D[0]
GTP:MCOMMA_10B_VALUE_0[0]
17 ----------------------GTP:DRP6D[1]
GTP:TST_ATTR_1[17]
GTP:DRP4D[1]
GTP:MCOMMA_10B_VALUE_1[1]
GTP:DRP2D[1]
GTP:TST_ATTR_0[17]
GTP:DRP0D[1]
GTP:MCOMMA_10B_VALUE_0[1]
18 ----------------------GTP:DRP6D[2]
GTP:TST_ATTR_1[18]
GTP:DRP4D[2]
GTP:MCOMMA_10B_VALUE_1[2]
GTP:DRP2D[2]
GTP:TST_ATTR_0[18]
GTP:DRP0D[2]
GTP:MCOMMA_10B_VALUE_0[2]
19 ----------------------GTP:DRP6D[3]
GTP:TST_ATTR_1[19]
GTP:DRP4D[3]
GTP:MCOMMA_10B_VALUE_1[3]
GTP:DRP2D[3]
GTP:TST_ATTR_0[19]
GTP:DRP0D[3]
GTP:MCOMMA_10B_VALUE_0[3]
20 ----------------------GTP:DRP6D[4]
GTP:TST_ATTR_1[20]
GTP:DRP4D[4]
GTP:MCOMMA_10B_VALUE_1[4]
GTP:DRP2D[4]
GTP:TST_ATTR_0[20]
GTP:DRP0D[4]
GTP:MCOMMA_10B_VALUE_0[4]
21 ----------------------GTP:DRP6D[5]
GTP:TST_ATTR_1[21]
GTP:DRP4D[5]
GTP:MCOMMA_10B_VALUE_1[5]
GTP:DRP2D[5]
GTP:TST_ATTR_0[21]
GTP:DRP0D[5]
GTP:MCOMMA_10B_VALUE_0[5]
22 ----------------------GTP:DRP6D[6]
GTP:TST_ATTR_1[22]
GTP:DRP4D[6]
GTP:MCOMMA_10B_VALUE_1[6]
GTP:DRP2D[6]
GTP:TST_ATTR_0[22]
GTP:DRP0D[6]
GTP:MCOMMA_10B_VALUE_0[6]
23 ----------------------GTP:DRP6D[7]
GTP:TST_ATTR_1[23]
GTP:DRP4D[7]
GTP:MCOMMA_10B_VALUE_1[7]
GTP:DRP2D[7]
GTP:TST_ATTR_0[23]
GTP:DRP0D[7]
GTP:MCOMMA_10B_VALUE_0[7]
24 ----------------------GTP:DRP6D[8]
GTP:TST_ATTR_1[24]
GTP:DRP4D[8]
GTP:MCOMMA_10B_VALUE_1[8]
GTP:DRP2D[8]
GTP:TST_ATTR_0[24]
GTP:DRP0D[8]
GTP:MCOMMA_10B_VALUE_0[8]
25 ----------------------GTP:DRP6D[9]
GTP:TST_ATTR_1[25]
GTP:DRP4D[9]
GTP:MCOMMA_10B_VALUE_1[9]
GTP:DRP2D[9]
GTP:TST_ATTR_0[25]
GTP:DRP0D[9]
GTP:MCOMMA_10B_VALUE_0[9]
26 ----------------------GTP:DRP6D[10]
GTP:TST_ATTR_1[26]
GTP:DRP4D[10]
GTP:RX_SLIDE_MODE_1
GTP:DRP2D[10]
GTP:TST_ATTR_0[26]
GTP:DRP0D[10]
GTP:RX_SLIDE_MODE_0
27 ----------------------GTP:DRP6D[11]
GTP:TST_ATTR_1[27]
GTP:DRP4D[11]GTP:DRP2D[11]
GTP:TST_ATTR_0[27]
GTP:DRP0D[11]
28 ----------------------GTP:DRP6D[12]
GTP:TST_ATTR_1[28]
GTP:DRP4D[12]GTP:DRP2D[12]
GTP:TST_ATTR_0[28]
GTP:DRP0D[12]
29 ----------------------GTP:DRP6D[13]
GTP:TST_ATTR_1[29]
GTP:DRP4D[13]GTP:DRP2D[13]
GTP:TST_ATTR_0[29]
GTP:DRP0D[13]
30 ----------------------GTP:DRP6D[14]
GTP:TST_ATTR_1[30]
GTP:DRP4D[14]GTP:DRP2D[14]
GTP:TST_ATTR_0[30]
GTP:DRP0D[14]
31 ----------------------GTP:DRP6D[15]
GTP:TST_ATTR_1[31]
GTP:DRP4D[15]GTP:DRP2D[15]
GTP:TST_ATTR_0[31]
GTP:DRP0D[15]
32 ----------------------GTP:DRP6E[0]GTP:DRP4E[0]
GTP:PCOMMA_10B_VALUE_1[0]
GTP:DRP2E[0]GTP:DRP0E[0]
GTP:PCOMMA_10B_VALUE_0[0]
33 ----------------------GTP:DRP6E[1]GTP:DRP4E[1]
GTP:PCOMMA_10B_VALUE_1[1]
GTP:DRP2E[1]GTP:DRP0E[1]
GTP:PCOMMA_10B_VALUE_0[1]
34 ----------------------GTP:DRP6E[2]GTP:DRP4E[2]
GTP:PCOMMA_10B_VALUE_1[2]
GTP:DRP2E[2]GTP:DRP0E[2]
GTP:PCOMMA_10B_VALUE_0[2]
35 ----------------------GTP:DRP6E[3]GTP:DRP4E[3]
GTP:PCOMMA_10B_VALUE_1[3]
GTP:DRP2E[3]GTP:DRP0E[3]
GTP:PCOMMA_10B_VALUE_0[3]
36 ----------------------GTP:DRP6E[4]GTP:DRP4E[4]
GTP:PCOMMA_10B_VALUE_1[4]
GTP:DRP2E[4]GTP:DRP0E[4]
GTP:PCOMMA_10B_VALUE_0[4]
37 ----------------------GTP:DRP6E[5]GTP:DRP4E[5]
GTP:PCOMMA_10B_VALUE_1[5]
GTP:DRP2E[5]GTP:DRP0E[5]
GTP:PCOMMA_10B_VALUE_0[5]
38 ----------------------GTP:DRP6E[6]GTP:DRP4E[6]
GTP:PCOMMA_10B_VALUE_1[6]
GTP:DRP2E[6]GTP:DRP0E[6]
GTP:PCOMMA_10B_VALUE_0[6]
39 ----------------------GTP:DRP6E[7]GTP:DRP4E[7]
GTP:PCOMMA_10B_VALUE_1[7]
GTP:DRP2E[7]GTP:DRP0E[7]
GTP:PCOMMA_10B_VALUE_0[7]
40 ----------------------GTP:DRP6E[8]GTP:DRP4E[8]
GTP:PCOMMA_10B_VALUE_1[8]
GTP:DRP2E[8]GTP:DRP0E[8]
GTP:PCOMMA_10B_VALUE_0[8]
41 ----------------------GTP:DRP6E[9]GTP:DRP4E[9]
GTP:PCOMMA_10B_VALUE_1[9]
GTP:DRP2E[9]GTP:DRP0E[9]
GTP:PCOMMA_10B_VALUE_0[9]
42 ----------------------GTP:DRP6E[10]GTP:DRP4E[10]GTP:DRP2E[10]GTP:DRP0E[10]
43 ----------------------GTP:DRP6E[11]GTP:DRP4E[11]GTP:DRP2E[11]GTP:DRP0E[11]
44 ----------------------GTP:DRP6E[12]GTP:DRP4E[12]GTP:DRP2E[12]GTP:DRP0E[12]
45 ----------------------GTP:DRP6E[13]GTP:DRP4E[13]GTP:DRP2E[13]GTP:DRP0E[13]
46 ----------------------GTP:DRP6E[14]GTP:DRP4E[14]GTP:DRP2E[14]GTP:DRP0E[14]
47 ----------------------GTP:DRP6E[15]GTP:DRP4E[15]GTP:DRP2E[15]GTP:DRP0E[15]
48 ----------------------GTP:DRP6F[0]GTP:CHAN_BOND_SEQ_1_1_1[0]
GTP:DRP4F[0]
GTP:DRP2F[0]GTP:CHAN_BOND_SEQ_1_1_0[0]
GTP:DRP0F[0]
49 ----------------------GTP:DRP6F[1]GTP:CHAN_BOND_SEQ_1_1_1[1]
GTP:DRP4F[1]
GTP:DRP2F[1]GTP:CHAN_BOND_SEQ_1_1_0[1]
GTP:DRP0F[1]
50 ----------------------GTP:DRP6F[2]GTP:CHAN_BOND_SEQ_1_1_1[2]
GTP:DRP4F[2]
GTP:DRP2F[2]GTP:CHAN_BOND_SEQ_1_1_0[2]
GTP:DRP0F[2]
51 ----------------------GTP:DRP6F[3]GTP:CHAN_BOND_SEQ_1_1_1[3]
GTP:DRP4F[3]
GTP:DRP2F[3]GTP:CHAN_BOND_SEQ_1_1_0[3]
GTP:DRP0F[3]
52 ----------------------GTP:DRP6F[4]GTP:CHAN_BOND_SEQ_1_1_1[4]
GTP:DRP4F[4]
GTP:DRP2F[4]GTP:CHAN_BOND_SEQ_1_1_0[4]
GTP:DRP0F[4]
53 ----------------------GTP:DRP6F[5]GTP:CHAN_BOND_SEQ_1_1_1[5]
GTP:DRP4F[5]
GTP:DRP2F[5]GTP:CHAN_BOND_SEQ_1_1_0[5]
GTP:DRP0F[5]
54 ----------------------GTP:DRP6F[6]GTP:CHAN_BOND_SEQ_1_1_1[6]
GTP:DRP4F[6]
GTP:DRP2F[6]GTP:CHAN_BOND_SEQ_1_1_0[6]
GTP:DRP0F[6]
55 ----------------------GTP:DRP6F[7]GTP:CHAN_BOND_SEQ_1_1_1[7]
GTP:DRP4F[7]
GTP:DRP2F[7]GTP:CHAN_BOND_SEQ_1_1_0[7]
GTP:DRP0F[7]
56 ----------------------GTP:DRP6F[8]GTP:CHAN_BOND_SEQ_1_1_1[8]
GTP:DRP4F[8]
GTP:DRP2F[8]GTP:CHAN_BOND_SEQ_1_1_0[8]
GTP:DRP0F[8]
57 ----------------------GTP:DRP6F[9]GTP:CHAN_BOND_SEQ_1_1_1[9]
GTP:DRP4F[9]
GTP:DRP2F[9]GTP:CHAN_BOND_SEQ_1_1_0[9]
GTP:DRP0F[9]
58 ----------------------GTP:DRP6F[10]GTP:DRP4F[10]GTP:DRP2F[10]GTP:DRP0F[10]
59 ----------------------GTP:DRP6F[11]GTP:DRP4F[11]GTP:DRP2F[11]GTP:DRP0F[11]
60 ----------------------GTP:DRP6F[12]GTP:CHAN_BOND_SEQ_1_ENABLE_1[0]
GTP:DRP4F[12]
GTP:DRP2F[12]GTP:CHAN_BOND_SEQ_1_ENABLE_0[0]
GTP:DRP0F[12]
61 ----------------------GTP:DRP6F[13]GTP:CHAN_BOND_SEQ_1_ENABLE_1[1]
GTP:DRP4F[13]
GTP:DRP2F[13]GTP:CHAN_BOND_SEQ_1_ENABLE_0[1]
GTP:DRP0F[13]
62 ----------------------GTP:DRP6F[14]GTP:CHAN_BOND_SEQ_1_ENABLE_1[2]
GTP:DRP4F[14]
GTP:DRP2F[14]GTP:CHAN_BOND_SEQ_1_ENABLE_0[2]
GTP:DRP0F[14]
63 ----------------------GTP:DRP6F[15]GTP:CHAN_BOND_SEQ_1_ENABLE_1[3]
GTP:DRP4F[15]
GTP:DRP2F[15]GTP:CHAN_BOND_SEQ_1_ENABLE_0[3]
GTP:DRP0F[15]
GTP bittile 12
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP70[0]
~GTP:INV.TXUSRCLK1
GTP:CHAN_BOND_SEQ_1_2_1[0]
GTP:DRP50[0]
GTP:DRP30[0]
~GTP:INV.TXUSRCLK0
GTP:CHAN_BOND_SEQ_1_2_0[0]
GTP:DRP10[0]
1 ----------------------GTP:DRP70[1]
~GTP:INV.TXUSRCLK21
GTP:CHAN_BOND_SEQ_1_2_1[1]
GTP:DRP50[1]
GTP:DRP30[1]
~GTP:INV.TXUSRCLK20
GTP:CHAN_BOND_SEQ_1_2_0[1]
GTP:DRP10[1]
2 ----------------------GTP:DRP70[2]
~GTP:INV.RXUSRCLK1
GTP:CHAN_BOND_SEQ_1_2_1[2]
GTP:DRP50[2]
GTP:DRP30[2]
~GTP:INV.DCLK
GTP:CHAN_BOND_SEQ_1_2_0[2]
GTP:DRP10[2]
3 ----------------------GTP:DRP70[3]
~GTP:INV.RXUSRCLK21
GTP:CHAN_BOND_SEQ_1_2_1[3]
GTP:DRP50[3]
GTP:DRP30[3]
~GTP:INV.RXUSRCLK0
GTP:CHAN_BOND_SEQ_1_2_0[3]
GTP:DRP10[3]
4 ----------------------GTP:DRP70[4]
~GTP:INV.TSTCLK1
GTP:CHAN_BOND_SEQ_1_2_1[4]
GTP:DRP50[4]
GTP:DRP30[4]
~GTP:INV.RXUSRCLK20
GTP:CHAN_BOND_SEQ_1_2_0[4]
GTP:DRP10[4]
5 ----------------------GTP:DRP70[5]GTP:CHAN_BOND_SEQ_1_2_1[5]
GTP:DRP50[5]
GTP:DRP30[5]
~GTP:INV.TSTCLK0
GTP:CHAN_BOND_SEQ_1_2_0[5]
GTP:DRP10[5]
6 ----------------------GTP:DRP70[6]GTP:CHAN_BOND_SEQ_1_2_1[6]
GTP:DRP50[6]
GTP:DRP30[6]GTP:CHAN_BOND_SEQ_1_2_0[6]
GTP:DRP10[6]
7 ----------------------GTP:DRP70[7]GTP:CHAN_BOND_SEQ_1_2_1[7]
GTP:DRP50[7]
GTP:DRP30[7]GTP:CHAN_BOND_SEQ_1_2_0[7]
GTP:DRP10[7]
8 ----------------------GTP:DRP70[8]GTP:CHAN_BOND_SEQ_1_2_1[8]
GTP:DRP50[8]
GTP:DRP30[8]GTP:CHAN_BOND_SEQ_1_2_0[8]
GTP:DRP10[8]
9 ----------------------GTP:DRP70[9]GTP:CHAN_BOND_SEQ_1_2_1[9]
GTP:DRP50[9]
GTP:DRP30[9]GTP:CHAN_BOND_SEQ_1_2_0[9]
GTP:DRP10[9]
10 ----------------------GTP:DRP70[10]GTP:CHAN_BOND_1_MAX_SKEW_1[0]
GTP:DRP50[10]
GTP:DRP30[10]GTP:CHAN_BOND_1_MAX_SKEW_0[0]
GTP:DRP10[10]
11 ----------------------GTP:DRP70[11]GTP:CHAN_BOND_1_MAX_SKEW_1[1]
GTP:DRP50[11]
GTP:DRP30[11]GTP:CHAN_BOND_1_MAX_SKEW_0[1]
GTP:DRP10[11]
12 ----------------------GTP:DRP70[12]GTP:CHAN_BOND_1_MAX_SKEW_1[2]
GTP:DRP50[12]
GTP:DRP30[12]GTP:CHAN_BOND_1_MAX_SKEW_0[2]
GTP:DRP10[12]
13 ----------------------GTP:DRP70[13]GTP:CHAN_BOND_1_MAX_SKEW_1[3]
GTP:DRP50[13]
GTP:DRP30[13]GTP:CHAN_BOND_1_MAX_SKEW_0[3]
GTP:DRP10[13]
14 ----------------------GTP:DRP70[14]GTP:DRP50[14]GTP:DRP30[14]GTP:DRP10[14]
15 ----------------------GTP:DRP70[15]GTP:DRP50[15]GTP:DRP30[15]GTP:DRP10[15]
16 ----------------------GTP:CLKINDC_B_1
GTP:DRP71[0]
GTP:CHAN_BOND_SEQ_1_3_1[0]
GTP:DRP51[0]
GTP:CLKINDC_B_0
GTP:DRP31[0]
GTP:CHAN_BOND_SEQ_1_3_0[0]
GTP:DRP11[0]
17 ----------------------GTP:CLKRCV_TRST_1
GTP:DRP71[1]
GTP:CHAN_BOND_SEQ_1_3_1[1]
GTP:DRP51[1]
GTP:CLKRCV_TRST_0
GTP:DRP31[1]
GTP:CHAN_BOND_SEQ_1_3_0[1]
GTP:DRP11[1]
18 ----------------------GTP:DRP71[2]
GTP:MUX.CLKOUT_EAST
GTP:CHAN_BOND_SEQ_1_3_1[2]
GTP:DRP51[2]
GTP:DRP31[2]
GTP:MUX.CLKOUT_WEST
GTP:CHAN_BOND_SEQ_1_3_0[2]
GTP:DRP11[2]
19 ----------------------GTP:DRP71[3]GTP:CHAN_BOND_SEQ_1_3_1[3]
GTP:DRP51[3]
GTP:DRP31[3]GTP:CHAN_BOND_SEQ_1_3_0[3]
GTP:DRP11[3]
20 ----------------------GTP:DRP71[4]GTP:CHAN_BOND_SEQ_1_3_1[4]
GTP:DRP51[4]
GTP:DRP31[4]GTP:CHAN_BOND_SEQ_1_3_0[4]
GTP:DRP11[4]
21 ----------------------GTP:DRP71[5]GTP:CHAN_BOND_SEQ_1_3_1[5]
GTP:DRP51[5]
GTP:DRP31[5]GTP:CHAN_BOND_SEQ_1_3_0[5]
GTP:DRP11[5]
22 ----------------------GTP:DRP71[6]GTP:CHAN_BOND_SEQ_1_3_1[6]
GTP:DRP51[6]
GTP:DRP31[6]GTP:CHAN_BOND_SEQ_1_3_0[6]
GTP:DRP11[6]
23 ----------------------GTP:DRP71[7]GTP:CHAN_BOND_SEQ_1_3_1[7]
GTP:DRP51[7]
GTP:DRP31[7]GTP:CHAN_BOND_SEQ_1_3_0[7]
GTP:DRP11[7]
24 ----------------------GTP:DRP71[8]GTP:CHAN_BOND_SEQ_1_3_1[8]
GTP:DRP51[8]
GTP:DRP31[8]GTP:CHAN_BOND_SEQ_1_3_0[8]
GTP:DRP11[8]
25 ----------------------GTP:DRP71[9]GTP:CHAN_BOND_SEQ_1_3_1[9]
GTP:DRP51[9]
GTP:DRP31[9]GTP:CHAN_BOND_SEQ_1_3_0[9]
GTP:DRP11[9]
26 ----------------------GTP:DRP71[10]GTP:CB2_INH_CC_PERIOD_1[0]
GTP:DRP51[10]
GTP:DRP31[10]GTP:CB2_INH_CC_PERIOD_0[0]
GTP:DRP11[10]
27 ----------------------GTP:DRP71[11]GTP:CB2_INH_CC_PERIOD_1[1]
GTP:DRP51[11]
GTP:DRP31[11]GTP:CB2_INH_CC_PERIOD_0[1]
GTP:DRP11[11]
28 ----------------------GTP:DRP71[12]
GTP:REFSELPLL1_STATIC[0]
GTP:CB2_INH_CC_PERIOD_1[2]
GTP:DRP51[12]
GTP:DRP31[12]
GTP:REFSELPLL0_STATIC[0]
GTP:CB2_INH_CC_PERIOD_0[2]
GTP:DRP11[12]
29 ----------------------GTP:DRP71[13]
GTP:REFSELPLL1_STATIC[1]
GTP:CB2_INH_CC_PERIOD_1[3]
GTP:DRP51[13]
GTP:DRP31[13]
GTP:REFSELPLL0_STATIC[1]
GTP:CB2_INH_CC_PERIOD_0[3]
GTP:DRP11[13]
30 ----------------------GTP:DRP71[14]
GTP:REFSELPLL1_STATIC[2]
GTP:DRP51[14]GTP:DRP31[14]
GTP:REFSELPLL0_STATIC[2]
GTP:DRP11[14]
31 ----------------------GTP:DRP71[15]
GTP:REFSELPLL1_STATIC_ENABLE
GTP:DRP51[15]GTP:DRP31[15]
GTP:REFSELPLL0_STATIC_ENABLE
GTP:DRP11[15]
32 ----------------------GTP:DRP72[0]
GTP:TEST_CLK_OUT_GTP_1[0]
GTP:CHAN_BOND_SEQ_1_4_1[0]
GTP:DRP52[0]
GTP:DRP32[0]
GTP:TEST_CLK_OUT_GTP_0[0]
GTP:CHAN_BOND_SEQ_1_4_0[0]
GTP:DRP12[0]
33 ----------------------GTP:DRP72[1]
GTP:TEST_CLK_OUT_GTP_1[1]
GTP:CHAN_BOND_SEQ_1_4_1[1]
GTP:DRP52[1]
GTP:DRP32[1]
GTP:TEST_CLK_OUT_GTP_0[1]
GTP:CHAN_BOND_SEQ_1_4_0[1]
GTP:DRP12[1]
34 ----------------------GTP:CLK_OUT_GTP_SEL_1
GTP:DRP72[2]
GTP:CHAN_BOND_SEQ_1_4_1[2]
GTP:DRP52[2]
GTP:CLK_OUT_GTP_SEL_0
GTP:DRP32[2]
GTP:CHAN_BOND_SEQ_1_4_0[2]
GTP:DRP12[2]
35 ----------------------GTP:DRP72[3]GTP:CHAN_BOND_SEQ_1_4_1[3]
GTP:DRP52[3]
GTP:DRP32[3]GTP:CHAN_BOND_SEQ_1_4_0[3]
GTP:DRP12[3]
36 ----------------------GTP:DRP72[4]GTP:CHAN_BOND_SEQ_1_4_1[4]
GTP:DRP52[4]
GTP:DRP32[4]GTP:CHAN_BOND_SEQ_1_4_0[4]
GTP:DRP12[4]
37 ----------------------GTP:DRP72[5]GTP:CHAN_BOND_SEQ_1_4_1[5]
GTP:DRP52[5]
GTP:DRP32[5]GTP:CHAN_BOND_SEQ_1_4_0[5]
GTP:DRP12[5]
38 ----------------------GTP:DRP72[6]GTP:CHAN_BOND_SEQ_1_4_1[6]
GTP:DRP52[6]
GTP:DRP32[6]GTP:CHAN_BOND_SEQ_1_4_0[6]
GTP:DRP12[6]
39 ----------------------GTP:DRP72[7]GTP:CHAN_BOND_SEQ_1_4_1[7]
GTP:DRP52[7]
GTP:DRP32[7]GTP:CHAN_BOND_SEQ_1_4_0[7]
GTP:DRP12[7]
40 ----------------------GTP:DRP72[8]GTP:CHAN_BOND_SEQ_1_4_1[8]
GTP:DRP52[8]
GTP:DRP32[8]GTP:CHAN_BOND_SEQ_1_4_0[8]
GTP:DRP12[8]
41 ----------------------GTP:DRP72[9]GTP:CHAN_BOND_SEQ_1_4_1[9]
GTP:DRP52[9]
GTP:DRP32[9]GTP:CHAN_BOND_SEQ_1_4_0[9]
GTP:DRP12[9]
42 ----------------------GTP:DRP72[10]GTP:DRP52[10]
GTP:RX_EN_MODE_RESET_BUF_1
GTP:DRP32[10]GTP:DRP12[10]
GTP:RX_EN_MODE_RESET_BUF_0
43 ----------------------GTP:DRP72[11]GTP:DRP52[11]GTP:DRP32[11]GTP:DRP12[11]
44 ----------------------GTP:DRP72[12]GTP:DRP52[12]GTP:DRP32[12]GTP:DRP12[12]
45 ----------------------GTP:DRP72[13]GTP:DRP52[13]GTP:DRP32[13]GTP:DRP12[13]
46 ----------------------GTP:DRP72[14]GTP:DRP52[14]GTP:DRP32[14]GTP:DRP12[14]
47 ----------------------GTP:DRP72[15]GTP:DRP52[15]GTP:DRP32[15]GTP:DRP12[15]
48 ----------------------GTP:DRP73[0]
GTP:PLL_DIVSEL_REF_1[0]
GTP:CHAN_BOND_SEQ_2_1_1[0]
GTP:DRP53[0]
GTP:DRP33[0]
GTP:PLL_DIVSEL_REF_0[0]
GTP:CHAN_BOND_SEQ_2_1_0[0]
GTP:DRP13[0]
49 ----------------------GTP:DRP73[1]
GTP:PLL_DIVSEL_REF_1[1]
GTP:CHAN_BOND_SEQ_2_1_1[1]
GTP:DRP53[1]
GTP:DRP33[1]
GTP:PLL_DIVSEL_REF_0[1]
GTP:CHAN_BOND_SEQ_2_1_0[1]
GTP:DRP13[1]
50 ----------------------GTP:DRP73[2]
GTP:PLL_DIVSEL_REF_1[2]
GTP:CHAN_BOND_SEQ_2_1_1[2]
GTP:DRP53[2]
GTP:DRP33[2]
GTP:PLL_DIVSEL_REF_0[2]
GTP:CHAN_BOND_SEQ_2_1_0[2]
GTP:DRP13[2]
51 ----------------------GTP:DRP73[3]
GTP:PLL_DIVSEL_REF_1[3]
GTP:CHAN_BOND_SEQ_2_1_1[3]
GTP:DRP53[3]
GTP:DRP33[3]
GTP:PLL_DIVSEL_REF_0[3]
GTP:CHAN_BOND_SEQ_2_1_0[3]
GTP:DRP13[3]
52 ----------------------GTP:DRP73[4]
GTP:PLL_DIVSEL_REF_1[4]
GTP:CHAN_BOND_SEQ_2_1_1[4]
GTP:DRP53[4]
GTP:DRP33[4]
GTP:PLL_DIVSEL_REF_0[4]
GTP:CHAN_BOND_SEQ_2_1_0[4]
GTP:DRP13[4]
53 ----------------------GTP:DRP73[5]GTP:CHAN_BOND_SEQ_2_1_1[5]
GTP:DRP53[5]
GTP:DRP33[5]GTP:CHAN_BOND_SEQ_2_1_0[5]
GTP:DRP13[5]
54 ----------------------GTP:DRP73[6]
GTP:PLL_DIVSEL_FB_1[0]
GTP:CHAN_BOND_SEQ_2_1_1[6]
GTP:DRP53[6]
GTP:DRP33[6]
GTP:PLL_DIVSEL_FB_0[0]
GTP:CHAN_BOND_SEQ_2_1_0[6]
GTP:DRP13[6]
55 ----------------------GTP:DRP73[7]
GTP:PLL_DIVSEL_FB_1[1]
GTP:CHAN_BOND_SEQ_2_1_1[7]
GTP:DRP53[7]
GTP:DRP33[7]
GTP:PLL_DIVSEL_FB_0[1]
GTP:CHAN_BOND_SEQ_2_1_0[7]
GTP:DRP13[7]
56 ----------------------GTP:DRP73[8]
GTP:PLL_DIVSEL_FB_1[2]
GTP:CHAN_BOND_SEQ_2_1_1[8]
GTP:DRP53[8]
GTP:DRP33[8]
GTP:PLL_DIVSEL_FB_0[2]
GTP:CHAN_BOND_SEQ_2_1_0[8]
GTP:DRP13[8]
57 ----------------------GTP:DRP73[9]GTP:CHAN_BOND_SEQ_2_1_1[9]
GTP:DRP53[9]
GTP:DRP33[9]GTP:CHAN_BOND_SEQ_2_1_0[9]
GTP:DRP13[9]
58 ----------------------GTP:DRP73[10]
GTP:PLL_DIVSEL_FB_1[3]
GTP:DRP53[10]GTP:DRP33[10]
GTP:PLL_DIVSEL_FB_0[3]
GTP:DRP13[10]
59 ----------------------GTP:DRP73[11]
GTP:PLLLKDET_CFG_1[0]
GTP:DRP53[11]GTP:DRP33[11]
GTP:PLLLKDET_CFG_0[0]
GTP:DRP13[11]
60 ----------------------GTP:DRP73[12]
GTP:PLLLKDET_CFG_1[1]
GTP:CHAN_BOND_SEQ_2_ENABLE_1[0]
GTP:DRP53[12]
GTP:DRP33[12]
GTP:PLLLKDET_CFG_0[1]
GTP:CHAN_BOND_SEQ_2_ENABLE_0[0]
GTP:DRP13[12]
61 ----------------------GTP:DRP73[13]
GTP:PLLLKDET_CFG_1[2]
GTP:CHAN_BOND_SEQ_2_ENABLE_1[1]
GTP:DRP53[13]
GTP:DRP33[13]
GTP:PLLLKDET_CFG_0[2]
GTP:CHAN_BOND_SEQ_2_ENABLE_0[1]
GTP:DRP13[13]
62 ----------------------GTP:DRP73[14]GTP:CHAN_BOND_SEQ_2_ENABLE_1[2]
GTP:DRP53[14]
GTP:DRP33[14]GTP:CHAN_BOND_SEQ_2_ENABLE_0[2]
GTP:DRP13[14]
63 ----------------------GTP:DRP73[15]GTP:CHAN_BOND_SEQ_2_ENABLE_1[3]
GTP:DRP53[15]
GTP:DRP33[15]GTP:CHAN_BOND_SEQ_2_ENABLE_0[3]
GTP:DRP13[15]
GTP bittile 13
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP74[0]
GTP:PLL_CP_CFG_1[0]
GTP:CHAN_BOND_SEQ_2_2_1[0]
GTP:DRP54[0]
GTP:DRP34[0]
GTP:PLL_CP_CFG_0[0]
GTP:CHAN_BOND_SEQ_2_2_0[0]
GTP:DRP14[0]
1 ----------------------GTP:DRP74[1]
GTP:PLL_CP_CFG_1[1]
GTP:CHAN_BOND_SEQ_2_2_1[1]
GTP:DRP54[1]
GTP:DRP34[1]
GTP:PLL_CP_CFG_0[1]
GTP:CHAN_BOND_SEQ_2_2_0[1]
GTP:DRP14[1]
2 ----------------------GTP:DRP74[2]
GTP:PLL_CP_CFG_1[2]
GTP:CHAN_BOND_SEQ_2_2_1[2]
GTP:DRP54[2]
GTP:DRP34[2]
GTP:PLL_CP_CFG_0[2]
GTP:CHAN_BOND_SEQ_2_2_0[2]
GTP:DRP14[2]
3 ----------------------GTP:DRP74[3]
GTP:PLL_CP_CFG_1[3]
GTP:CHAN_BOND_SEQ_2_2_1[3]
GTP:DRP54[3]
GTP:DRP34[3]
GTP:PLL_CP_CFG_0[3]
GTP:CHAN_BOND_SEQ_2_2_0[3]
GTP:DRP14[3]
4 ----------------------GTP:DRP74[4]
GTP:PLL_CP_CFG_1[4]
GTP:CHAN_BOND_SEQ_2_2_1[4]
GTP:DRP54[4]
GTP:DRP34[4]
GTP:PLL_CP_CFG_0[4]
GTP:CHAN_BOND_SEQ_2_2_0[4]
GTP:DRP14[4]
5 ----------------------GTP:DRP74[5]
GTP:PLL_CP_CFG_1[5]
GTP:CHAN_BOND_SEQ_2_2_1[5]
GTP:DRP54[5]
GTP:DRP34[5]
GTP:PLL_CP_CFG_0[5]
GTP:CHAN_BOND_SEQ_2_2_0[5]
GTP:DRP14[5]
6 ----------------------GTP:DRP74[6]
GTP:PLL_CP_CFG_1[6]
GTP:CHAN_BOND_SEQ_2_2_1[6]
GTP:DRP54[6]
GTP:DRP34[6]
GTP:PLL_CP_CFG_0[6]
GTP:CHAN_BOND_SEQ_2_2_0[6]
GTP:DRP14[6]
7 ----------------------GTP:DRP74[7]
GTP:PLL_CP_CFG_1[7]
GTP:CHAN_BOND_SEQ_2_2_1[7]
GTP:DRP54[7]
GTP:DRP34[7]
GTP:PLL_CP_CFG_0[7]
GTP:CHAN_BOND_SEQ_2_2_0[7]
GTP:DRP14[7]
8 ----------------------GTP:DRP74[8]
GTP:PLL_RXDIVSEL_OUT_1[0]
GTP:CHAN_BOND_SEQ_2_2_1[8]
GTP:DRP54[8]
GTP:DRP34[8]
GTP:PLL_RXDIVSEL_OUT_0[0]
GTP:CHAN_BOND_SEQ_2_2_0[8]
GTP:DRP14[8]
9 ----------------------GTP:DRP74[9]
GTP:PLL_RXDIVSEL_OUT_1[1]
GTP:CHAN_BOND_SEQ_2_2_1[9]
GTP:DRP54[9]
GTP:DRP34[9]
GTP:PLL_RXDIVSEL_OUT_0[1]
GTP:CHAN_BOND_SEQ_2_2_0[9]
GTP:DRP14[9]
10 ----------------------GTP:DRP74[10]
GTP:PLL_TXDIVSEL_OUT_1[0]
GTP:CHAN_BOND_2_MAX_SKEW_1[0]
GTP:DRP54[10]
GTP:DRP34[10]
GTP:PLL_TXDIVSEL_OUT_0[0]
GTP:CHAN_BOND_2_MAX_SKEW_0[0]
GTP:DRP14[10]
11 ----------------------GTP:DRP74[11]
GTP:PLL_TXDIVSEL_OUT_1[1]
GTP:CHAN_BOND_2_MAX_SKEW_1[1]
GTP:DRP54[11]
GTP:DRP34[11]
GTP:PLL_TXDIVSEL_OUT_0[1]
GTP:CHAN_BOND_2_MAX_SKEW_0[1]
GTP:DRP14[11]
12 ----------------------GTP:DRP74[12]
GTP:PLL_STARTUP_EN_1
GTP:CHAN_BOND_2_MAX_SKEW_1[2]
GTP:DRP54[12]
GTP:DRP34[12]
GTP:PLL_STARTUP_EN_0
GTP:CHAN_BOND_2_MAX_SKEW_0[2]
GTP:DRP14[12]
13 ----------------------GTP:DRP74[13]
GTP:PLL_SOURCE_1
GTP:CHAN_BOND_2_MAX_SKEW_1[3]
GTP:DRP54[13]
GTP:DRP34[13]
GTP:PLL_SOURCE_0
GTP:CHAN_BOND_2_MAX_SKEW_0[3]
GTP:DRP14[13]
14 ----------------------GTP:DRP74[14]GTP:DRP54[14]GTP:DRP34[14]GTP:DRP14[14]
15 ----------------------GTP:DRP74[15]GTP:DRP54[15]GTP:DRP34[15]GTP:DRP14[15]
16 ----------------------GTP:DRP75[0]
GTP:TERMINATION_CTRL_1[0]
GTP:CHAN_BOND_SEQ_2_3_1[0]
GTP:DRP55[0]
GTP:DRP35[0]
GTP:TERMINATION_CTRL_0[0]
GTP:CHAN_BOND_SEQ_2_3_0[0]
GTP:DRP15[0]
17 ----------------------GTP:DRP75[1]
GTP:TERMINATION_CTRL_1[1]
GTP:CHAN_BOND_SEQ_2_3_1[1]
GTP:DRP55[1]
GTP:DRP35[1]
GTP:TERMINATION_CTRL_0[1]
GTP:CHAN_BOND_SEQ_2_3_0[1]
GTP:DRP15[1]
18 ----------------------GTP:DRP75[2]
GTP:TERMINATION_CTRL_1[2]
GTP:CHAN_BOND_SEQ_2_3_1[2]
GTP:DRP55[2]
GTP:DRP35[2]
GTP:TERMINATION_CTRL_0[2]
GTP:CHAN_BOND_SEQ_2_3_0[2]
GTP:DRP15[2]
19 ----------------------GTP:DRP75[3]
GTP:TERMINATION_CTRL_1[3]
GTP:CHAN_BOND_SEQ_2_3_1[3]
GTP:DRP55[3]
GTP:DRP35[3]
GTP:TERMINATION_CTRL_0[3]
GTP:CHAN_BOND_SEQ_2_3_0[3]
GTP:DRP15[3]
20 ----------------------GTP:DRP75[4]
GTP:TERMINATION_CTRL_1[4]
GTP:CHAN_BOND_SEQ_2_3_1[4]
GTP:DRP55[4]
GTP:DRP35[4]
GTP:TERMINATION_CTRL_0[4]
GTP:CHAN_BOND_SEQ_2_3_0[4]
GTP:DRP15[4]
21 ----------------------GTP:DRP75[5]
GTP:TERMINATION_OVRD_1
GTP:CHAN_BOND_SEQ_2_3_1[5]
GTP:DRP55[5]
GTP:DRP35[5]
GTP:TERMINATION_OVRD_0
GTP:CHAN_BOND_SEQ_2_3_0[5]
GTP:DRP15[5]
22 ----------------------GTP:DRP75[6]
GTP:RX_CDR_FORCE_ROTATE_1
GTP:CHAN_BOND_SEQ_2_3_1[6]
GTP:DRP55[6]
GTP:DRP35[6]
GTP:RX_CDR_FORCE_ROTATE_0
GTP:CHAN_BOND_SEQ_2_3_0[6]
GTP:DRP15[6]
23 ----------------------GTP:DRP75[7]GTP:CHAN_BOND_SEQ_2_3_1[7]
GTP:DRP55[7]
GTP:DRP35[7]GTP:CHAN_BOND_SEQ_2_3_0[7]
GTP:DRP15[7]
24 ----------------------GTP:DRP75[8]GTP:CHAN_BOND_SEQ_2_3_1[8]
GTP:DRP55[8]
GTP:DRP35[8]GTP:CHAN_BOND_SEQ_2_3_0[8]
GTP:DRP15[8]
25 ----------------------GTP:DRP75[9]GTP:CHAN_BOND_SEQ_2_3_1[9]
GTP:DRP55[9]
GTP:DRP35[9]GTP:CHAN_BOND_SEQ_2_3_0[9]
GTP:DRP15[9]
26 ----------------------GTP:DRP75[10]GTP:CHAN_BOND_KEEP_ALIGN_1
GTP:DRP55[10]
GTP:DRP35[10]GTP:CHAN_BOND_KEEP_ALIGN_0
GTP:DRP15[10]
27 ----------------------GTP:DRP75[11]GTP:CHAN_BOND_SEQ_2_USE_1
GTP:DRP55[11]
GTP:DRP35[11]GTP:CHAN_BOND_SEQ_2_USE_0
GTP:DRP15[11]
28 ----------------------GTP:DRP75[12]GTP:CHAN_BOND_SEQ_LEN_1[0]
GTP:DRP55[12]
GTP:DRP35[12]GTP:CHAN_BOND_SEQ_LEN_0[0]
GTP:DRP15[12]
29 ----------------------GTP:DRP75[13]GTP:CHAN_BOND_SEQ_LEN_1[1]
GTP:DRP55[13]
GTP:DRP35[13]GTP:CHAN_BOND_SEQ_LEN_0[1]
GTP:DRP15[13]
30 ----------------------GTP:DRP75[14]GTP:DRP55[14]
GTP:PCI_EXPRESS_MODE_1
GTP:DRP35[14]GTP:DRP15[14]
GTP:PCI_EXPRESS_MODE_0
31 ----------------------GTP:DRP75[15]GTP:DRP55[15]GTP:DRP35[15]GTP:DRP15[15]
32 ----------------------GTP:DRP76[0]
GTP:PMA_RX_CFG_1[0]
GTP:CHAN_BOND_SEQ_2_4_1[0]
GTP:DRP56[0]
GTP:DRP36[0]
GTP:PMA_RX_CFG_0[0]
GTP:CHAN_BOND_SEQ_2_4_0[0]
GTP:DRP16[0]
33 ----------------------GTP:DRP76[1]
GTP:PMA_RX_CFG_1[1]
GTP:CHAN_BOND_SEQ_2_4_1[1]
GTP:DRP56[1]
GTP:DRP36[1]
GTP:PMA_RX_CFG_0[1]
GTP:CHAN_BOND_SEQ_2_4_0[1]
GTP:DRP16[1]
34 ----------------------GTP:DRP76[2]
GTP:PMA_RX_CFG_1[2]
GTP:CHAN_BOND_SEQ_2_4_1[2]
GTP:DRP56[2]
GTP:DRP36[2]
GTP:PMA_RX_CFG_0[2]
GTP:CHAN_BOND_SEQ_2_4_0[2]
GTP:DRP16[2]
35 ----------------------GTP:DRP76[3]
GTP:PMA_RX_CFG_1[3]
GTP:CHAN_BOND_SEQ_2_4_1[3]
GTP:DRP56[3]
GTP:DRP36[3]
GTP:PMA_RX_CFG_0[3]
GTP:CHAN_BOND_SEQ_2_4_0[3]
GTP:DRP16[3]
36 ----------------------GTP:DRP76[4]
GTP:PMA_RX_CFG_1[4]
GTP:CHAN_BOND_SEQ_2_4_1[4]
GTP:DRP56[4]
GTP:DRP36[4]
GTP:PMA_RX_CFG_0[4]
GTP:CHAN_BOND_SEQ_2_4_0[4]
GTP:DRP16[4]
37 ----------------------GTP:DRP76[5]
GTP:PMA_RX_CFG_1[5]
GTP:CHAN_BOND_SEQ_2_4_1[5]
GTP:DRP56[5]
GTP:DRP36[5]
GTP:PMA_RX_CFG_0[5]
GTP:CHAN_BOND_SEQ_2_4_0[5]
GTP:DRP16[5]
38 ----------------------GTP:DRP76[6]
GTP:PMA_RX_CFG_1[6]
GTP:CHAN_BOND_SEQ_2_4_1[6]
GTP:DRP56[6]
GTP:DRP36[6]
GTP:PMA_RX_CFG_0[6]
GTP:CHAN_BOND_SEQ_2_4_0[6]
GTP:DRP16[6]
39 ----------------------GTP:DRP76[7]
GTP:PMA_RX_CFG_1[7]
GTP:CHAN_BOND_SEQ_2_4_1[7]
GTP:DRP56[7]
GTP:DRP36[7]
GTP:PMA_RX_CFG_0[7]
GTP:CHAN_BOND_SEQ_2_4_0[7]
GTP:DRP16[7]
40 ----------------------GTP:DRP76[8]
GTP:PMA_RX_CFG_1[8]
GTP:CHAN_BOND_SEQ_2_4_1[8]
GTP:DRP56[8]
GTP:DRP36[8]
GTP:PMA_RX_CFG_0[8]
GTP:CHAN_BOND_SEQ_2_4_0[8]
GTP:DRP16[8]
41 ----------------------GTP:DRP76[9]
GTP:PMA_RX_CFG_1[9]
GTP:CHAN_BOND_SEQ_2_4_1[9]
GTP:DRP56[9]
GTP:DRP36[9]
GTP:PMA_RX_CFG_0[9]
GTP:CHAN_BOND_SEQ_2_4_0[9]
GTP:DRP16[9]
42 ----------------------GTP:DRP76[10]
GTP:PMA_RX_CFG_1[10]
GTP:DRP56[10]GTP:DRP36[10]
GTP:PMA_RX_CFG_0[10]
GTP:DRP16[10]
43 ----------------------GTP:DRP76[11]
GTP:PMA_RX_CFG_1[11]
GTP:DRP56[11]GTP:DRP36[11]
GTP:PMA_RX_CFG_0[11]
GTP:DRP16[11]
44 ----------------------GTP:DRP76[12]
GTP:PMA_RX_CFG_1[12]
GTP:DRP56[12]GTP:DRP36[12]
GTP:PMA_RX_CFG_0[12]
GTP:DRP16[12]
45 ----------------------GTP:DRP76[13]
GTP:PMA_RX_CFG_1[13]
GTP:DRP56[13]GTP:DRP36[13]
GTP:PMA_RX_CFG_0[13]
GTP:DRP16[13]
46 ----------------------GTP:DRP76[14]
GTP:PMA_RX_CFG_1[14]
GTP:DRP56[14]GTP:DRP36[14]
GTP:PMA_RX_CFG_0[14]
GTP:DRP16[14]
47 ----------------------GTP:DRP76[15]
GTP:PMA_RX_CFG_1[15]
GTP:DRP56[15]GTP:DRP36[15]
GTP:PMA_RX_CFG_0[15]
GTP:DRP16[15]
48 ----------------------GTP:DRP77[0]
GTP:PMA_RX_CFG_1[16]
GTP:CLK_COR_SEQ_1_1_1[0]
GTP:DRP57[0]
GTP:DRP37[0]
GTP:PMA_RX_CFG_0[16]
GTP:CLK_COR_SEQ_1_1_0[0]
GTP:DRP17[0]
49 ----------------------GTP:DRP77[1]
GTP:PMA_RX_CFG_1[17]
GTP:CLK_COR_SEQ_1_1_1[1]
GTP:DRP57[1]
GTP:DRP37[1]
GTP:PMA_RX_CFG_0[17]
GTP:CLK_COR_SEQ_1_1_0[1]
GTP:DRP17[1]
50 ----------------------GTP:DRP77[2]
GTP:PMA_RX_CFG_1[18]
GTP:CLK_COR_SEQ_1_1_1[2]
GTP:DRP57[2]
GTP:DRP37[2]
GTP:PMA_RX_CFG_0[18]
GTP:CLK_COR_SEQ_1_1_0[2]
GTP:DRP17[2]
51 ----------------------GTP:DRP77[3]
GTP:PMA_RX_CFG_1[19]
GTP:CLK_COR_SEQ_1_1_1[3]
GTP:DRP57[3]
GTP:DRP37[3]
GTP:PMA_RX_CFG_0[19]
GTP:CLK_COR_SEQ_1_1_0[3]
GTP:DRP17[3]
52 ----------------------GTP:DRP77[4]
GTP:PMA_RX_CFG_1[20]
GTP:CLK_COR_SEQ_1_1_1[4]
GTP:DRP57[4]
GTP:DRP37[4]
GTP:PMA_RX_CFG_0[20]
GTP:CLK_COR_SEQ_1_1_0[4]
GTP:DRP17[4]
53 ----------------------GTP:DRP77[5]
GTP:PMA_RX_CFG_1[21]
GTP:CLK_COR_SEQ_1_1_1[5]
GTP:DRP57[5]
GTP:DRP37[5]
GTP:PMA_RX_CFG_0[21]
GTP:CLK_COR_SEQ_1_1_0[5]
GTP:DRP17[5]
54 ----------------------GTP:DRP77[6]
GTP:PMA_RX_CFG_1[22]
GTP:CLK_COR_SEQ_1_1_1[6]
GTP:DRP57[6]
GTP:DRP37[6]
GTP:PMA_RX_CFG_0[22]
GTP:CLK_COR_SEQ_1_1_0[6]
GTP:DRP17[6]
55 ----------------------GTP:DRP77[7]
GTP:PMA_RX_CFG_1[23]
GTP:CLK_COR_SEQ_1_1_1[7]
GTP:DRP57[7]
GTP:DRP37[7]
GTP:PMA_RX_CFG_0[23]
GTP:CLK_COR_SEQ_1_1_0[7]
GTP:DRP17[7]
56 ----------------------GTP:DRP77[8]
GTP:PMA_RX_CFG_1[24]
GTP:CLK_COR_SEQ_1_1_1[8]
GTP:DRP57[8]
GTP:DRP37[8]
GTP:PMA_RX_CFG_0[24]
GTP:CLK_COR_SEQ_1_1_0[8]
GTP:DRP17[8]
57 ----------------------GTP:DRP77[9]
GTP:PMA_RXSYNC_CFG_1[0]
GTP:CLK_COR_SEQ_1_1_1[9]
GTP:DRP57[9]
GTP:DRP37[9]
GTP:PMA_RXSYNC_CFG_0[0]
GTP:CLK_COR_SEQ_1_1_0[9]
GTP:DRP17[9]
58 ----------------------GTP:DRP77[10]
GTP:PMA_RXSYNC_CFG_1[1]
GTP:CLK_COR_ADJ_LEN_1[0]
GTP:DRP57[10]
GTP:DRP37[10]
GTP:PMA_RXSYNC_CFG_0[1]
GTP:CLK_COR_ADJ_LEN_0[0]
GTP:DRP17[10]
59 ----------------------GTP:DRP77[11]
GTP:PMA_RXSYNC_CFG_1[2]
GTP:CLK_COR_ADJ_LEN_1[1]
GTP:DRP57[11]
GTP:DRP37[11]
GTP:PMA_RXSYNC_CFG_0[2]
GTP:CLK_COR_ADJ_LEN_0[1]
GTP:DRP17[11]
60 ----------------------GTP:DRP77[12]
GTP:PMA_RXSYNC_CFG_1[3]
GTP:CLK_COR_SEQ_1_ENABLE_1[0]
GTP:DRP57[12]
GTP:DRP37[12]
GTP:PMA_RXSYNC_CFG_0[3]
GTP:CLK_COR_SEQ_1_ENABLE_0[0]
GTP:DRP17[12]
61 ----------------------GTP:DRP77[13]
GTP:PMA_RXSYNC_CFG_1[4]
GTP:CLK_COR_SEQ_1_ENABLE_1[1]
GTP:DRP57[13]
GTP:DRP37[13]
GTP:PMA_RXSYNC_CFG_0[4]
GTP:CLK_COR_SEQ_1_ENABLE_0[1]
GTP:DRP17[13]
62 ----------------------GTP:DRP77[14]
GTP:PMA_RXSYNC_CFG_1[5]
GTP:CLK_COR_SEQ_1_ENABLE_1[2]
GTP:DRP57[14]
GTP:DRP37[14]
GTP:PMA_RXSYNC_CFG_0[5]
GTP:CLK_COR_SEQ_1_ENABLE_0[2]
GTP:DRP17[14]
63 ----------------------GTP:DRP77[15]
GTP:PMA_RXSYNC_CFG_1[6]
GTP:CLK_COR_SEQ_1_ENABLE_1[3]
GTP:DRP57[15]
GTP:DRP37[15]
GTP:PMA_RXSYNC_CFG_0[6]
GTP:CLK_COR_SEQ_1_ENABLE_0[3]
GTP:DRP17[15]
GTP bittile 14
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP78[0]
GTP:OOBDETECT_THRESHOLD_1[0]
GTP:CLK_COR_SEQ_1_2_1[0]
GTP:DRP58[0]
GTP:DRP38[0]
GTP:OOBDETECT_THRESHOLD_0[0]
GTP:CLK_COR_SEQ_1_2_0[0]
GTP:DRP18[0]
1 ----------------------GTP:DRP78[1]
GTP:OOBDETECT_THRESHOLD_1[1]
GTP:CLK_COR_SEQ_1_2_1[1]
GTP:DRP58[1]
GTP:DRP38[1]
GTP:OOBDETECT_THRESHOLD_0[1]
GTP:CLK_COR_SEQ_1_2_0[1]
GTP:DRP18[1]
2 ----------------------GTP:DRP78[2]
GTP:OOBDETECT_THRESHOLD_1[2]
GTP:CLK_COR_SEQ_1_2_1[2]
GTP:DRP58[2]
GTP:DRP38[2]
GTP:OOBDETECT_THRESHOLD_0[2]
GTP:CLK_COR_SEQ_1_2_0[2]
GTP:DRP18[2]
3 ----------------------GTP:DRP78[3]
GTP:TX_TDCC_CFG_1[0]
GTP:CLK_COR_SEQ_1_2_1[3]
GTP:DRP58[3]
GTP:DRP38[3]
GTP:TX_TDCC_CFG_0[0]
GTP:CLK_COR_SEQ_1_2_0[3]
GTP:DRP18[3]
4 ----------------------GTP:DRP78[4]
GTP:TX_TDCC_CFG_1[1]
GTP:CLK_COR_SEQ_1_2_1[4]
GTP:DRP58[4]
GTP:DRP38[4]
GTP:TX_TDCC_CFG_0[1]
GTP:CLK_COR_SEQ_1_2_0[4]
GTP:DRP18[4]
5 ----------------------GTP:AC_CAP_DIS_1
GTP:DRP78[5]
GTP:CLK_COR_SEQ_1_2_1[5]
GTP:DRP58[5]
GTP:AC_CAP_DIS_0
GTP:DRP38[5]
GTP:CLK_COR_SEQ_1_2_0[5]
GTP:DRP18[5]
6 ----------------------GTP:CM_TRIM_1[0]
GTP:DRP78[6]
GTP:CLK_COR_SEQ_1_2_1[6]
GTP:DRP58[6]
GTP:CM_TRIM_0[0]
GTP:DRP38[6]
GTP:CLK_COR_SEQ_1_2_0[6]
GTP:DRP18[6]
7 ----------------------GTP:CM_TRIM_1[1]
GTP:DRP78[7]
GTP:CLK_COR_SEQ_1_2_1[7]
GTP:DRP58[7]
GTP:CM_TRIM_0[1]
GTP:DRP38[7]
GTP:CLK_COR_SEQ_1_2_0[7]
GTP:DRP18[7]
8 ----------------------GTP:DRP78[8]
GTP:RCV_TERM_GND_1
GTP:CLK_COR_SEQ_1_2_1[8]
GTP:DRP58[8]
GTP:DRP38[8]
GTP:RCV_TERM_GND_0
GTP:CLK_COR_SEQ_1_2_0[8]
GTP:DRP18[8]
9 ----------------------GTP:DRP78[9]
GTP:RCV_TERM_VTTRX_1
GTP:CLK_COR_SEQ_1_2_1[9]
GTP:DRP58[9]
GTP:DRP38[9]
GTP:RCV_TERM_VTTRX_0
GTP:CLK_COR_SEQ_1_2_0[9]
GTP:DRP18[9]
10 ----------------------GTP:DRP78[10]GTP:CLK_COR_MAX_LAT_1[0]
GTP:DRP58[10]
GTP:DRP38[10]GTP:CLK_COR_MAX_LAT_0[0]
GTP:DRP18[10]
11 ----------------------GTP:DRP78[11]GTP:CLK_COR_MAX_LAT_1[1]
GTP:DRP58[11]
GTP:DRP38[11]GTP:CLK_COR_MAX_LAT_0[1]
GTP:DRP18[11]
12 ----------------------GTP:DRP78[12]GTP:CLK_COR_MAX_LAT_1[2]
GTP:DRP58[12]
GTP:DRP38[12]GTP:CLK_COR_MAX_LAT_0[2]
GTP:DRP18[12]
13 ----------------------GTP:DRP78[13]GTP:CLK_COR_MAX_LAT_1[3]
GTP:DRP58[13]
GTP:DRP38[13]GTP:CLK_COR_MAX_LAT_0[3]
GTP:DRP18[13]
14 ----------------------GTP:DRP78[14]GTP:CLK_COR_MAX_LAT_1[4]
GTP:DRP58[14]
GTP:DRP38[14]GTP:CLK_COR_MAX_LAT_0[4]
GTP:DRP18[14]
15 ----------------------GTP:DRP78[15]GTP:CLK_COR_MAX_LAT_1[5]
GTP:DRP58[15]
GTP:DRP38[15]GTP:CLK_COR_MAX_LAT_0[5]
GTP:DRP18[15]
16 ----------------------GTP:DRP79[0]
GTP:PMA_TX_CFG_1[0]
GTP:CLK_COR_SEQ_1_3_1[0]
GTP:DRP59[0]
GTP:DRP39[0]
GTP:PMA_TX_CFG_0[0]
GTP:CLK_COR_SEQ_1_3_0[0]
GTP:DRP19[0]
17 ----------------------GTP:DRP79[1]
GTP:PMA_TX_CFG_1[1]
GTP:CLK_COR_SEQ_1_3_1[1]
GTP:DRP59[1]
GTP:DRP39[1]
GTP:PMA_TX_CFG_0[1]
GTP:CLK_COR_SEQ_1_3_0[1]
GTP:DRP19[1]
18 ----------------------GTP:DRP79[2]
GTP:PMA_TX_CFG_1[2]
GTP:CLK_COR_SEQ_1_3_1[2]
GTP:DRP59[2]
GTP:DRP39[2]
GTP:PMA_TX_CFG_0[2]
GTP:CLK_COR_SEQ_1_3_0[2]
GTP:DRP19[2]
19 ----------------------GTP:DRP79[3]
GTP:PMA_TX_CFG_1[3]
GTP:CLK_COR_SEQ_1_3_1[3]
GTP:DRP59[3]
GTP:DRP39[3]
GTP:PMA_TX_CFG_0[3]
GTP:CLK_COR_SEQ_1_3_0[3]
GTP:DRP19[3]
20 ----------------------GTP:DRP79[4]
GTP:PMA_TX_CFG_1[4]
GTP:CLK_COR_SEQ_1_3_1[4]
GTP:DRP59[4]
GTP:DRP39[4]
GTP:PMA_TX_CFG_0[4]
GTP:CLK_COR_SEQ_1_3_0[4]
GTP:DRP19[4]
21 ----------------------GTP:DRP79[5]
GTP:PMA_TX_CFG_1[5]
GTP:CLK_COR_SEQ_1_3_1[5]
GTP:DRP59[5]
GTP:DRP39[5]
GTP:PMA_TX_CFG_0[5]
GTP:CLK_COR_SEQ_1_3_0[5]
GTP:DRP19[5]
22 ----------------------GTP:DRP79[6]
GTP:PMA_TX_CFG_1[6]
GTP:CLK_COR_SEQ_1_3_1[6]
GTP:DRP59[6]
GTP:DRP39[6]
GTP:PMA_TX_CFG_0[6]
GTP:CLK_COR_SEQ_1_3_0[6]
GTP:DRP19[6]
23 ----------------------GTP:DRP79[7]
GTP:PMA_TX_CFG_1[7]
GTP:CLK_COR_SEQ_1_3_1[7]
GTP:DRP59[7]
GTP:DRP39[7]
GTP:PMA_TX_CFG_0[7]
GTP:CLK_COR_SEQ_1_3_0[7]
GTP:DRP19[7]
24 ----------------------GTP:DRP79[8]
GTP:PMA_TX_CFG_1[8]
GTP:CLK_COR_SEQ_1_3_1[8]
GTP:DRP59[8]
GTP:DRP39[8]
GTP:PMA_TX_CFG_0[8]
GTP:CLK_COR_SEQ_1_3_0[8]
GTP:DRP19[8]
25 ----------------------GTP:DRP79[9]
GTP:PMA_TX_CFG_1[9]
GTP:CLK_COR_SEQ_1_3_1[9]
GTP:DRP59[9]
GTP:DRP39[9]
GTP:PMA_TX_CFG_0[9]
GTP:CLK_COR_SEQ_1_3_0[9]
GTP:DRP19[9]
26 ----------------------GTP:DRP79[10]
GTP:PMA_TX_CFG_1[10]
GTP:CLK_COR_MIN_LAT_1[0]
GTP:DRP59[10]
GTP:DRP39[10]
GTP:PMA_TX_CFG_0[10]
GTP:CLK_COR_MIN_LAT_0[0]
GTP:DRP19[10]
27 ----------------------GTP:DRP79[11]
GTP:PMA_TX_CFG_1[11]
GTP:CLK_COR_MIN_LAT_1[1]
GTP:DRP59[11]
GTP:DRP39[11]
GTP:PMA_TX_CFG_0[11]
GTP:CLK_COR_MIN_LAT_0[1]
GTP:DRP19[11]
28 ----------------------GTP:DRP79[12]
GTP:PMA_TX_CFG_1[12]
GTP:CLK_COR_MIN_LAT_1[2]
GTP:DRP59[12]
GTP:DRP39[12]
GTP:PMA_TX_CFG_0[12]
GTP:CLK_COR_MIN_LAT_0[2]
GTP:DRP19[12]
29 ----------------------GTP:DRP79[13]
GTP:PMA_TX_CFG_1[13]
GTP:CLK_COR_MIN_LAT_1[3]
GTP:DRP59[13]
GTP:DRP39[13]
GTP:PMA_TX_CFG_0[13]
GTP:CLK_COR_MIN_LAT_0[3]
GTP:DRP19[13]
30 ----------------------GTP:DRP79[14]
GTP:PMA_TX_CFG_1[14]
GTP:CLK_COR_MIN_LAT_1[4]
GTP:DRP59[14]
GTP:DRP39[14]
GTP:PMA_TX_CFG_0[14]
GTP:CLK_COR_MIN_LAT_0[4]
GTP:DRP19[14]
31 ----------------------GTP:DRP79[15]
GTP:PMA_TX_CFG_1[15]
GTP:CLK_COR_MIN_LAT_1[5]
GTP:DRP59[15]
GTP:DRP39[15]
GTP:PMA_TX_CFG_0[15]
GTP:CLK_COR_MIN_LAT_0[5]
GTP:DRP19[15]
32 ----------------------GTP:DRP7A[0]
GTP:PMA_TX_CFG_1[16]
GTP:CLK_COR_SEQ_1_4_1[0]
GTP:DRP5A[0]
GTP:DRP3A[0]
GTP:PMA_TX_CFG_0[16]
GTP:CLK_COR_SEQ_1_4_0[0]
GTP:DRP1A[0]
33 ----------------------GTP:DRP7A[1]
GTP:PMA_TX_CFG_1[17]
GTP:CLK_COR_SEQ_1_4_1[1]
GTP:DRP5A[1]
GTP:DRP3A[1]
GTP:PMA_TX_CFG_0[17]
GTP:CLK_COR_SEQ_1_4_0[1]
GTP:DRP1A[1]
34 ----------------------GTP:DRP7A[2]
GTP:PMA_TX_CFG_1[18]
GTP:CLK_COR_SEQ_1_4_1[2]
GTP:DRP5A[2]
GTP:DRP3A[2]
GTP:PMA_TX_CFG_0[18]
GTP:CLK_COR_SEQ_1_4_0[2]
GTP:DRP1A[2]
35 ----------------------GTP:DRP7A[3]
GTP:PMA_TX_CFG_1[19]
GTP:CLK_COR_SEQ_1_4_1[3]
GTP:DRP5A[3]
GTP:DRP3A[3]
GTP:PMA_TX_CFG_0[19]
GTP:CLK_COR_SEQ_1_4_0[3]
GTP:DRP1A[3]
36 ----------------------GTP:DRP7A[4]GTP:CLK_COR_SEQ_1_4_1[4]
GTP:DRP5A[4]
GTP:DRP3A[4]GTP:CLK_COR_SEQ_1_4_0[4]
GTP:DRP1A[4]
37 ----------------------GTP:DRP7A[5]GTP:CLK_COR_SEQ_1_4_1[5]
GTP:DRP5A[5]
GTP:DRP3A[5]GTP:CLK_COR_SEQ_1_4_0[5]
GTP:DRP1A[5]
38 ----------------------GTP:DRP7A[6]GTP:CLK_COR_SEQ_1_4_1[6]
GTP:DRP5A[6]
GTP:DRP3A[6]GTP:CLK_COR_SEQ_1_4_0[6]
GTP:DRP1A[6]
39 ----------------------GTP:DRP7A[7]GTP:CLK_COR_SEQ_1_4_1[7]
GTP:DRP5A[7]
GTP:DRP3A[7]GTP:CLK_COR_SEQ_1_4_0[7]
GTP:DRP1A[7]
40 ----------------------GTP:DRP7A[8]
GTP:RXEQ_CFG_1[0]
GTP:CLK_COR_SEQ_1_4_1[8]
GTP:DRP5A[8]
GTP:DRP3A[8]
GTP:RXEQ_CFG_0[0]
GTP:CLK_COR_SEQ_1_4_0[8]
GTP:DRP1A[8]
41 ----------------------GTP:DRP7A[9]
GTP:RXEQ_CFG_1[1]
GTP:CLK_COR_SEQ_1_4_1[9]
GTP:DRP5A[9]
GTP:DRP3A[9]
GTP:RXEQ_CFG_0[1]
GTP:CLK_COR_SEQ_1_4_0[9]
GTP:DRP1A[9]
42 ----------------------GTP:DRP7A[10]
GTP:RXEQ_CFG_1[2]
GTP:CLK_COR_REPEAT_WAIT_1[0]
GTP:DRP5A[10]
GTP:DRP3A[10]
GTP:RXEQ_CFG_0[2]
GTP:CLK_COR_REPEAT_WAIT_0[0]
GTP:DRP1A[10]
43 ----------------------GTP:DRP7A[11]
GTP:RXEQ_CFG_1[3]
GTP:CLK_COR_REPEAT_WAIT_1[1]
GTP:DRP5A[11]
GTP:DRP3A[11]
GTP:RXEQ_CFG_0[3]
GTP:CLK_COR_REPEAT_WAIT_0[1]
GTP:DRP1A[11]
44 ----------------------GTP:DRP7A[12]
GTP:RXEQ_CFG_1[4]
GTP:CLK_COR_REPEAT_WAIT_1[2]
GTP:DRP5A[12]
GTP:DRP3A[12]
GTP:RXEQ_CFG_0[4]
GTP:CLK_COR_REPEAT_WAIT_0[2]
GTP:DRP1A[12]
45 ----------------------GTP:DRP7A[13]
GTP:RXEQ_CFG_1[5]
GTP:CLK_COR_REPEAT_WAIT_1[3]
GTP:DRP5A[13]
GTP:DRP3A[13]
GTP:RXEQ_CFG_0[5]
GTP:CLK_COR_REPEAT_WAIT_0[3]
GTP:DRP1A[13]
46 ----------------------GTP:DRP7A[14]
GTP:RXEQ_CFG_1[6]
GTP:CLK_COR_REPEAT_WAIT_1[4]
GTP:DRP5A[14]
GTP:DRP3A[14]
GTP:RXEQ_CFG_0[6]
GTP:CLK_COR_REPEAT_WAIT_0[4]
GTP:DRP1A[14]
47 ----------------------GTP:DRP7A[15]
GTP:RXEQ_CFG_1[7]
GTP:DRP5A[15]GTP:DRP3A[15]
GTP:RXEQ_CFG_0[7]
GTP:DRP1A[15]
48 ----------------------GTP:DRP7B[0]GTP:CLK_COR_SEQ_2_1_1[0]
GTP:DRP5B[0]
GTP:DRP3B[0]GTP:CLK_COR_SEQ_2_1_0[0]
GTP:DRP1B[0]
49 ----------------------GTP:DRP7B[1]GTP:CLK_COR_SEQ_2_1_1[1]
GTP:DRP5B[1]
GTP:DRP3B[1]GTP:CLK_COR_SEQ_2_1_0[1]
GTP:DRP1B[1]
50 ----------------------GTP:DRP7B[2]GTP:CLK_COR_SEQ_2_1_1[2]
GTP:DRP5B[2]
GTP:DRP3B[2]GTP:CLK_COR_SEQ_2_1_0[2]
GTP:DRP1B[2]
51 ----------------------GTP:DRP7B[3]GTP:CLK_COR_SEQ_2_1_1[3]
GTP:DRP5B[3]
GTP:DRP3B[3]GTP:CLK_COR_SEQ_2_1_0[3]
GTP:DRP1B[3]
52 ----------------------GTP:DRP7B[4]GTP:CLK_COR_SEQ_2_1_1[4]
GTP:DRP5B[4]
GTP:DRP3B[4]GTP:CLK_COR_SEQ_2_1_0[4]
GTP:DRP1B[4]
53 ----------------------GTP:DRP7B[5]GTP:CLK_COR_SEQ_2_1_1[5]
GTP:DRP5B[5]
GTP:DRP3B[5]GTP:CLK_COR_SEQ_2_1_0[5]
GTP:DRP1B[5]
54 ----------------------GTP:DRP7B[6]GTP:CLK_COR_SEQ_2_1_1[6]
GTP:DRP5B[6]
GTP:DRP3B[6]GTP:CLK_COR_SEQ_2_1_0[6]
GTP:DRP1B[6]
55 ----------------------GTP:DRP7B[7]GTP:CLK_COR_SEQ_2_1_1[7]
GTP:DRP5B[7]
GTP:DRP3B[7]GTP:CLK_COR_SEQ_2_1_0[7]
GTP:DRP1B[7]
56 ----------------------GTP:DRP7B[8]GTP:CLK_COR_SEQ_2_1_1[8]
GTP:DRP5B[8]
GTP:DRP3B[8]GTP:CLK_COR_SEQ_2_1_0[8]
GTP:DRP1B[8]
57 ----------------------GTP:DRP7B[9]GTP:CLK_COR_SEQ_2_1_1[9]
GTP:DRP5B[9]
GTP:DRP3B[9]GTP:CLK_COR_SEQ_2_1_0[9]
GTP:DRP1B[9]
58 ----------------------GTP:DRP7B[10]GTP:CLK_COR_DET_LEN_1[0]
GTP:DRP5B[10]
GTP:DRP3B[10]GTP:CLK_COR_DET_LEN_0[0]
GTP:DRP1B[10]
59 ----------------------GTP:DRP7B[11]GTP:CLK_COR_DET_LEN_1[1]
GTP:DRP5B[11]
GTP:DRP3B[11]GTP:CLK_COR_DET_LEN_0[1]
GTP:DRP1B[11]
60 ----------------------GTP:DRP7B[12]GTP:CLK_COR_SEQ_2_ENABLE_1[0]
GTP:DRP5B[12]
GTP:DRP3B[12]GTP:CLK_COR_SEQ_2_ENABLE_0[0]
GTP:DRP1B[12]
61 ----------------------GTP:DRP7B[13]GTP:CLK_COR_SEQ_2_ENABLE_1[1]
GTP:DRP5B[13]
GTP:DRP3B[13]GTP:CLK_COR_SEQ_2_ENABLE_0[1]
GTP:DRP1B[13]
62 ----------------------GTP:DRP7B[14]GTP:CLK_COR_SEQ_2_ENABLE_1[2]
GTP:DRP5B[14]
GTP:DRP3B[14]GTP:CLK_COR_SEQ_2_ENABLE_0[2]
GTP:DRP1B[14]
63 ----------------------GTP:DRP7B[15]GTP:CLK_COR_SEQ_2_ENABLE_1[3]
GTP:DRP5B[15]
GTP:DRP3B[15]GTP:CLK_COR_SEQ_2_ENABLE_0[3]
GTP:DRP1B[15]
GTP bittile 15
RowColumn
012345678910111213141516171819202122232425
0 ----------------------GTP:DRP7C[0]GTP:CLK_COR_SEQ_2_2_1[0]
GTP:DRP5C[0]
GTP:DRP3C[0]GTP:CLK_COR_SEQ_2_2_0[0]
GTP:DRP1C[0]
1 ----------------------GTP:DRP7C[1]GTP:CLK_COR_SEQ_2_2_1[1]
GTP:DRP5C[1]
GTP:DRP3C[1]GTP:CLK_COR_SEQ_2_2_0[1]
GTP:DRP1C[1]
2 ----------------------GTP:DRP7C[2]GTP:CLK_COR_SEQ_2_2_1[2]
GTP:DRP5C[2]
GTP:DRP3C[2]GTP:CLK_COR_SEQ_2_2_0[2]
GTP:DRP1C[2]
3 ----------------------GTP:DRP7C[3]GTP:CLK_COR_SEQ_2_2_1[3]
GTP:DRP5C[3]
GTP:DRP3C[3]GTP:CLK_COR_SEQ_2_2_0[3]
GTP:DRP1C[3]
4 ----------------------GTP:DRP7C[4]GTP:CLK_COR_SEQ_2_2_1[4]
GTP:DRP5C[4]
GTP:DRP3C[4]GTP:CLK_COR_SEQ_2_2_0[4]
GTP:DRP1C[4]
5 ----------------------GTP:DRP7C[5]GTP:CLK_COR_SEQ_2_2_1[5]
GTP:DRP5C[5]
GTP:DRP3C[5]GTP:CLK_COR_SEQ_2_2_0[5]
GTP:DRP1C[5]
6 ----------------------GTP:DRP7C[6]GTP:CLK_COR_SEQ_2_2_1[6]
GTP:DRP5C[6]
GTP:DRP3C[6]GTP:CLK_COR_SEQ_2_2_0[6]
GTP:DRP1C[6]
7 ----------------------GTP:DRP7C[7]GTP:CLK_COR_SEQ_2_2_1[7]
GTP:DRP5C[7]
GTP:DRP3C[7]GTP:CLK_COR_SEQ_2_2_0[7]
GTP:DRP1C[7]
8 ----------------------GTP:DRP7C[8]GTP:CLK_COR_SEQ_2_2_1[8]
GTP:DRP5C[8]
GTP:DRP3C[8]GTP:CLK_COR_SEQ_2_2_0[8]
GTP:DRP1C[8]
9 ----------------------GTP:DRP7C[9]GTP:CLK_COR_SEQ_2_2_1[9]
GTP:DRP5C[9]
GTP:DRP3C[9]GTP:CLK_COR_SEQ_2_2_0[9]
GTP:DRP1C[9]
10 ----------------------GTP:DRP7C[10]GTP:CLK_COR_INSERT_IDLE_FLAG_1
GTP:DRP5C[10]
GTP:DRP3C[10]GTP:CLK_COR_INSERT_IDLE_FLAG_0
GTP:DRP1C[10]
11 ----------------------GTP:DRP7C[11]GTP:CLK_COR_KEEP_IDLE_1
GTP:DRP5C[11]
GTP:DRP3C[11]GTP:CLK_COR_KEEP_IDLE_0
GTP:DRP1C[11]
12 ----------------------GTP:DRP7C[12]GTP:CLK_COR_PRECEDENCE_1
GTP:DRP5C[12]
GTP:DRP3C[12]GTP:CLK_COR_PRECEDENCE_0
GTP:DRP1C[12]
13 ----------------------GTP:DRP7C[13]GTP:CLK_COR_SEQ_2_USE_1
GTP:DRP5C[13]
GTP:DRP3C[13]GTP:CLK_COR_SEQ_2_USE_0
GTP:DRP1C[13]
14 ----------------------GTP:DRP7C[14]GTP:CLK_CORRECT_USE_1
GTP:DRP5C[14]
GTP:DRP3C[14]GTP:CLK_CORRECT_USE_0
GTP:DRP1C[14]
15 ----------------------GTP:DRP7C[15]GTP:DRP5C[15]
GTP:RX_DECODE_SEQ_MATCH_1
GTP:DRP3C[15]GTP:DRP1C[15]
GTP:RX_DECODE_SEQ_MATCH_0
16 ----------------------GTP:DRP7D[0]
GTP:PMA_COM_CFG_EAST[0]
GTP:CLK_COR_SEQ_2_3_1[0]
GTP:DRP5D[0]
GTP:DRP3D[0]
GTP:PMA_COM_CFG_WEST[0]
GTP:CLK_COR_SEQ_2_3_0[0]
GTP:DRP1D[0]
17 ----------------------GTP:DRP7D[1]
GTP:PMA_COM_CFG_EAST[1]
GTP:CLK_COR_SEQ_2_3_1[1]
GTP:DRP5D[1]
GTP:DRP3D[1]
GTP:PMA_COM_CFG_WEST[1]
GTP:CLK_COR_SEQ_2_3_0[1]
GTP:DRP1D[1]
18 ----------------------GTP:DRP7D[2]
GTP:PMA_COM_CFG_EAST[2]
GTP:CLK_COR_SEQ_2_3_1[2]
GTP:DRP5D[2]
GTP:DRP3D[2]
GTP:PMA_COM_CFG_WEST[2]
GTP:CLK_COR_SEQ_2_3_0[2]
GTP:DRP1D[2]
19 ----------------------GTP:DRP7D[3]
GTP:PMA_COM_CFG_EAST[3]
GTP:CLK_COR_SEQ_2_3_1[3]
GTP:DRP5D[3]
GTP:DRP3D[3]
GTP:PMA_COM_CFG_WEST[3]
GTP:CLK_COR_SEQ_2_3_0[3]
GTP:DRP1D[3]
20 ----------------------GTP:DRP7D[4]
GTP:PMA_COM_CFG_EAST[4]
GTP:CLK_COR_SEQ_2_3_1[4]
GTP:DRP5D[4]
GTP:DRP3D[4]
GTP:PMA_COM_CFG_WEST[4]
GTP:CLK_COR_SEQ_2_3_0[4]
GTP:DRP1D[4]
21 ----------------------GTP:DRP7D[5]
GTP:PMA_COM_CFG_EAST[5]
GTP:CLK_COR_SEQ_2_3_1[5]
GTP:DRP5D[5]
GTP:DRP3D[5]
GTP:PMA_COM_CFG_WEST[5]
GTP:CLK_COR_SEQ_2_3_0[5]
GTP:DRP1D[5]
22 ----------------------GTP:DRP7D[6]
GTP:PMA_COM_CFG_EAST[6]
GTP:CLK_COR_SEQ_2_3_1[6]
GTP:DRP5D[6]
GTP:DRP3D[6]
GTP:PMA_COM_CFG_WEST[6]
GTP:CLK_COR_SEQ_2_3_0[6]
GTP:DRP1D[6]
23 ----------------------GTP:DRP7D[7]
GTP:PMA_COM_CFG_EAST[7]
GTP:CLK_COR_SEQ_2_3_1[7]
GTP:DRP5D[7]
GTP:DRP3D[7]
GTP:PMA_COM_CFG_WEST[7]
GTP:CLK_COR_SEQ_2_3_0[7]
GTP:DRP1D[7]
24 ----------------------GTP:DRP7D[8]
GTP:PMA_COM_CFG_EAST[8]
GTP:CLK_COR_SEQ_2_3_1[8]
GTP:DRP5D[8]
GTP:DRP3D[8]
GTP:PMA_COM_CFG_WEST[8]
GTP:CLK_COR_SEQ_2_3_0[8]
GTP:DRP1D[8]
25 ----------------------GTP:DRP7D[9]
GTP:PMA_COM_CFG_EAST[9]
GTP:CLK_COR_SEQ_2_3_1[9]
GTP:DRP5D[9]
GTP:DRP3D[9]
GTP:PMA_COM_CFG_WEST[9]
GTP:CLK_COR_SEQ_2_3_0[9]
GTP:DRP1D[9]
26 ----------------------GTP:DRP7D[10]
GTP:PMA_COM_CFG_EAST[10]
GTP:DRP5D[10]GTP:DRP3D[10]
GTP:PMA_COM_CFG_WEST[10]
GTP:DRP1D[10]
27 ----------------------GTP:DRP7D[11]
GTP:PMA_COM_CFG_EAST[11]
GTP:DRP5D[11]GTP:DRP3D[11]
GTP:PMA_COM_CFG_WEST[11]
GTP:DRP1D[11]
28 ----------------------GTP:DRP7D[12]
GTP:PMA_COM_CFG_EAST[12]
GTP:DRP5D[12]GTP:DRP3D[12]
GTP:PMA_COM_CFG_WEST[12]
GTP:DRP1D[12]
29 ----------------------GTP:DRP7D[13]
GTP:PMA_COM_CFG_EAST[13]
GTP:DRP5D[13]GTP:DRP3D[13]
GTP:PMA_COM_CFG_WEST[13]
GTP:DRP1D[13]
30 ----------------------GTP:DRP7D[14]
GTP:PMA_COM_CFG_EAST[14]
GTP:DRP5D[14]GTP:DRP3D[14]
GTP:PMA_COM_CFG_WEST[14]
GTP:DRP1D[14]
31 ----------------------GTP:DRP7D[15]
GTP:PMA_COM_CFG_EAST[15]
GTP:DRP5D[15]GTP:DRP3D[15]
GTP:PMA_COM_CFG_WEST[15]
GTP:DRP1D[15]
32 ----------------------GTP:DRP7E[0]
GTP:PMA_COM_CFG_EAST[16]
GTP:CLK_COR_SEQ_2_4_1[0]
GTP:DRP5E[0]
GTP:DRP3E[0]
GTP:PMA_COM_CFG_WEST[16]
GTP:CLK_COR_SEQ_2_4_0[0]
GTP:DRP1E[0]
33 ----------------------GTP:DRP7E[1]
GTP:PMA_COM_CFG_EAST[17]
GTP:CLK_COR_SEQ_2_4_1[1]
GTP:DRP5E[1]
GTP:DRP3E[1]
GTP:PMA_COM_CFG_WEST[17]
GTP:CLK_COR_SEQ_2_4_0[1]
GTP:DRP1E[1]
34 ----------------------GTP:DRP7E[2]
GTP:PMA_COM_CFG_EAST[18]
GTP:CLK_COR_SEQ_2_4_1[2]
GTP:DRP5E[2]
GTP:DRP3E[2]
GTP:PMA_COM_CFG_WEST[18]
GTP:CLK_COR_SEQ_2_4_0[2]
GTP:DRP1E[2]
35 ----------------------GTP:DRP7E[3]
GTP:PMA_COM_CFG_EAST[19]
GTP:CLK_COR_SEQ_2_4_1[3]
GTP:DRP5E[3]
GTP:DRP3E[3]
GTP:PMA_COM_CFG_WEST[19]
GTP:CLK_COR_SEQ_2_4_0[3]
GTP:DRP1E[3]
36 ----------------------GTP:DRP7E[4]
GTP:PMA_COM_CFG_EAST[20]
GTP:CLK_COR_SEQ_2_4_1[4]
GTP:DRP5E[4]
GTP:DRP3E[4]
GTP:PMA_COM_CFG_WEST[20]
GTP:CLK_COR_SEQ_2_4_0[4]
GTP:DRP1E[4]
37 ----------------------GTP:DRP7E[5]
GTP:PMA_COM_CFG_EAST[21]
GTP:CLK_COR_SEQ_2_4_1[5]
GTP:DRP5E[5]
GTP:DRP3E[5]
GTP:PMA_COM_CFG_WEST[21]
GTP:CLK_COR_SEQ_2_4_0[5]
GTP:DRP1E[5]
38 ----------------------GTP:DRP7E[6]
GTP:PMA_COM_CFG_EAST[22]
GTP:CLK_COR_SEQ_2_4_1[6]
GTP:DRP5E[6]
GTP:DRP3E[6]
GTP:PMA_COM_CFG_WEST[22]
GTP:CLK_COR_SEQ_2_4_0[6]
GTP:DRP1E[6]
39 ----------------------GTP:DRP7E[7]
GTP:PMA_COM_CFG_EAST[23]
GTP:CLK_COR_SEQ_2_4_1[7]
GTP:DRP5E[7]
GTP:DRP3E[7]
GTP:PMA_COM_CFG_WEST[23]
GTP:CLK_COR_SEQ_2_4_0[7]
GTP:DRP1E[7]
40 ----------------------GTP:DRP7E[8]
GTP:PMA_COM_CFG_EAST[24]
GTP:CLK_COR_SEQ_2_4_1[8]
GTP:DRP5E[8]
GTP:DRP3E[8]
GTP:PMA_COM_CFG_WEST[24]
GTP:CLK_COR_SEQ_2_4_0[8]
GTP:DRP1E[8]
41 ----------------------GTP:DRP7E[9]
GTP:PMA_COM_CFG_EAST[25]
GTP:CLK_COR_SEQ_2_4_1[9]
GTP:DRP5E[9]
GTP:DRP3E[9]
GTP:PMA_COM_CFG_WEST[25]
GTP:CLK_COR_SEQ_2_4_0[9]
GTP:DRP1E[9]
42 ----------------------GTP:DRP7E[10]
GTP:PMA_COM_CFG_EAST[26]
GTP:DRP5E[10]GTP:DRP3E[10]
GTP:PMA_COM_CFG_WEST[26]
GTP:DRP1E[10]
43 ----------------------GTP:DRP7E[11]
GTP:PMA_COM_CFG_EAST[27]
GTP:DRP5E[11]GTP:DRP3E[11]
GTP:PMA_COM_CFG_WEST[27]
GTP:DRP1E[11]
44 ----------------------GTP:DRP7E[12]
GTP:PMA_COM_CFG_EAST[28]
GTP:DRP5E[12]GTP:DRP3E[12]
GTP:PMA_COM_CFG_WEST[28]
GTP:DRP1E[12]
45 ----------------------GTP:DRP7E[13]
GTP:PMA_COM_CFG_EAST[29]
GTP:DRP5E[13]GTP:DRP3E[13]
GTP:PMA_COM_CFG_WEST[29]
GTP:DRP1E[13]
46 ----------------------GTP:DRP7E[14]
GTP:PMA_COM_CFG_EAST[30]
GTP:DRP5E[14]GTP:DRP3E[14]
GTP:PMA_COM_CFG_WEST[30]
GTP:DRP1E[14]
47 ----------------------GTP:DRP7E[15]
GTP:PMA_COM_CFG_EAST[31]
GTP:DRP5E[15]GTP:DRP3E[15]
GTP:PMA_COM_CFG_WEST[31]
GTP:DRP1E[15]
48 ----------------------GTP:DRP7F[0]
GTP:PMA_COM_CFG_EAST[32]
GTP:DRP5F[0]
GTP:SATA_MAX_BURST_1[0]
GTP:DRP3F[0]
GTP:PMA_COM_CFG_WEST[32]
GTP:DRP1F[0]
GTP:SATA_MAX_BURST_0[0]
49 ----------------------GTP:DRP7F[1]
GTP:PMA_COM_CFG_EAST[33]
GTP:DRP5F[1]
GTP:SATA_MAX_BURST_1[1]
GTP:DRP3F[1]
GTP:PMA_COM_CFG_WEST[33]
GTP:DRP1F[1]
GTP:SATA_MAX_BURST_0[1]
50 ----------------------GTP:DRP7F[2]
GTP:PMA_COM_CFG_EAST[34]
GTP:DRP5F[2]
GTP:SATA_MAX_BURST_1[2]
GTP:DRP3F[2]
GTP:PMA_COM_CFG_WEST[34]
GTP:DRP1F[2]
GTP:SATA_MAX_BURST_0[2]
51 ----------------------GTP:DRP7F[3]
GTP:PMA_COM_CFG_EAST[35]
GTP:DRP5F[3]
GTP:SATA_MAX_BURST_1[3]
GTP:DRP3F[3]
GTP:PMA_COM_CFG_WEST[35]
GTP:DRP1F[3]
GTP:SATA_MAX_BURST_0[3]
52 ----------------------GTP:DRP7F[4]GTP:DRP5F[4]
GTP:SATA_MAX_BURST_1[4]
GTP:DRP3F[4]GTP:DRP1F[4]
GTP:SATA_MAX_BURST_0[4]
53 ----------------------GTP:DRP7F[5]GTP:DRP5F[5]
GTP:SATA_MAX_BURST_1[5]
GTP:DRP3F[5]GTP:DRP1F[5]
GTP:SATA_MAX_BURST_0[5]
54 ----------------------GTP:DRP7F[6]GTP:DRP5F[6]GTP:DRP3F[6]GTP:DRP1F[6]
55 ----------------------GTP:DRP7F[7]GTP:DRP5F[7]GTP:DRP3F[7]GTP:DRP1F[7]
56 ----------------------GTP:DRP7F[8]GTP:DRP5F[8]
GTP:SATA_MIN_BURST_1[0]
GTP:DRP3F[8]GTP:DRP1F[8]
GTP:SATA_MIN_BURST_0[0]
57 ----------------------GTP:DRP7F[9]GTP:DRP5F[9]
GTP:SATA_MIN_BURST_1[1]
GTP:DRP3F[9]GTP:DRP1F[9]
GTP:SATA_MIN_BURST_0[1]
58 ----------------------GTP:DRP7F[10]GTP:DRP5F[10]
GTP:SATA_MIN_BURST_1[2]
GTP:DRP3F[10]GTP:DRP1F[10]
GTP:SATA_MIN_BURST_0[2]
59 ----------------------GTP:DRP7F[11]GTP:DRP5F[11]
GTP:SATA_MIN_BURST_1[3]
GTP:DRP3F[11]GTP:DRP1F[11]
GTP:SATA_MIN_BURST_0[3]
60 ----------------------GTP:DRP7F[12]GTP:DRP5F[12]
GTP:SATA_MIN_BURST_1[4]
GTP:DRP3F[12]GTP:DRP1F[12]
GTP:SATA_MIN_BURST_0[4]
61 ----------------------GTP:DRP7F[13]GTP:DRP5F[13]
GTP:SATA_MIN_BURST_1[5]
GTP:DRP3F[13]GTP:DRP1F[13]
GTP:SATA_MIN_BURST_0[5]
62 ----------------------GTP:DRP7F[14]GTP:DRP5F[14]GTP:DRP3F[14]GTP:DRP1F[14]
63 ----------------------GTP:DRP7F[15]GTP:DRP5F[15]GTP:DRP3F[15]GTP:DRP1F[15]
GTP:CLK_COR_MAX_LAT_0[14, 25, 15][14, 25, 14][14, 25, 13][14, 25, 12][14, 25, 11][14, 25, 10]
GTP:CLK_COR_MAX_LAT_1[14, 23, 15][14, 23, 14][14, 23, 13][14, 23, 12][14, 23, 11][14, 23, 10]
GTP:CLK_COR_MIN_LAT_0[14, 25, 31][14, 25, 30][14, 25, 29][14, 25, 28][14, 25, 27][14, 25, 26]
GTP:CLK_COR_MIN_LAT_1[14, 23, 31][14, 23, 30][14, 23, 29][14, 23, 28][14, 23, 27][14, 23, 26]
GTP:SATA_MAX_BURST_0[15, 25, 53][15, 25, 52][15, 25, 51][15, 25, 50][15, 25, 49][15, 25, 48]
GTP:SATA_MAX_BURST_1[15, 23, 53][15, 23, 52][15, 23, 51][15, 23, 50][15, 23, 49][15, 23, 48]
GTP:SATA_MAX_INIT_0[8, 24, 5][8, 24, 4][8, 24, 3][8, 24, 2][8, 24, 1][8, 24, 0]
GTP:SATA_MAX_INIT_1[8, 22, 5][8, 22, 4][8, 22, 3][8, 22, 2][8, 22, 1][8, 22, 0]
GTP:SATA_MAX_WAKE_0[8, 24, 21][8, 24, 20][8, 24, 19][8, 24, 18][8, 24, 17][8, 24, 16]
GTP:SATA_MAX_WAKE_1[8, 22, 21][8, 22, 20][8, 22, 19][8, 22, 18][8, 22, 17][8, 22, 16]
GTP:SATA_MIN_BURST_0[15, 25, 61][15, 25, 60][15, 25, 59][15, 25, 58][15, 25, 57][15, 25, 56]
GTP:SATA_MIN_BURST_1[15, 23, 61][15, 23, 60][15, 23, 59][15, 23, 58][15, 23, 57][15, 23, 56]
GTP:SATA_MIN_INIT_0[8, 24, 13][8, 24, 12][8, 24, 11][8, 24, 10][8, 24, 9][8, 24, 8]
GTP:SATA_MIN_INIT_1[8, 22, 13][8, 22, 12][8, 22, 11][8, 22, 10][8, 22, 9][8, 22, 8]
GTP:SATA_MIN_WAKE_0[8, 24, 29][8, 24, 28][8, 24, 27][8, 24, 26][8, 24, 25][8, 24, 24]
GTP:SATA_MIN_WAKE_1[8, 22, 29][8, 22, 28][8, 22, 27][8, 22, 26][8, 22, 25][8, 22, 24]
Non-inverted[5][4][3][2][1][0]
GTP:DRP00[8, 25, 15][8, 25, 14][8, 25, 13][8, 25, 12][8, 25, 11][8, 25, 10][8, 25, 9][8, 25, 8][8, 25, 7][8, 25, 6][8, 25, 5][8, 25, 4][8, 25, 3][8, 25, 2][8, 25, 1][8, 25, 0]
GTP:DRP01[8, 25, 31][8, 25, 30][8, 25, 29][8, 25, 28][8, 25, 27][8, 25, 26][8, 25, 25][8, 25, 24][8, 25, 23][8, 25, 22][8, 25, 21][8, 25, 20][8, 25, 19][8, 25, 18][8, 25, 17][8, 25, 16]
GTP:DRP02[8, 25, 47][8, 25, 46][8, 25, 45][8, 25, 44][8, 25, 43][8, 25, 42][8, 25, 41][8, 25, 40][8, 25, 39][8, 25, 38][8, 25, 37][8, 25, 36][8, 25, 35][8, 25, 34][8, 25, 33][8, 25, 32]
GTP:DRP03[8, 25, 63][8, 25, 62][8, 25, 61][8, 25, 60][8, 25, 59][8, 25, 58][8, 25, 57][8, 25, 56][8, 25, 55][8, 25, 54][8, 25, 53][8, 25, 52][8, 25, 51][8, 25, 50][8, 25, 49][8, 25, 48]
GTP:DRP04[9, 25, 15][9, 25, 14][9, 25, 13][9, 25, 12][9, 25, 11][9, 25, 10][9, 25, 9][9, 25, 8][9, 25, 7][9, 25, 6][9, 25, 5][9, 25, 4][9, 25, 3][9, 25, 2][9, 25, 1][9, 25, 0]
GTP:DRP05[9, 25, 31][9, 25, 30][9, 25, 29][9, 25, 28][9, 25, 27][9, 25, 26][9, 25, 25][9, 25, 24][9, 25, 23][9, 25, 22][9, 25, 21][9, 25, 20][9, 25, 19][9, 25, 18][9, 25, 17][9, 25, 16]
GTP:DRP06[9, 25, 47][9, 25, 46][9, 25, 45][9, 25, 44][9, 25, 43][9, 25, 42][9, 25, 41][9, 25, 40][9, 25, 39][9, 25, 38][9, 25, 37][9, 25, 36][9, 25, 35][9, 25, 34][9, 25, 33][9, 25, 32]
GTP:DRP07[9, 25, 63][9, 25, 62][9, 25, 61][9, 25, 60][9, 25, 59][9, 25, 58][9, 25, 57][9, 25, 56][9, 25, 55][9, 25, 54][9, 25, 53][9, 25, 52][9, 25, 51][9, 25, 50][9, 25, 49][9, 25, 48]
GTP:DRP08[10, 25, 15][10, 25, 14][10, 25, 13][10, 25, 12][10, 25, 11][10, 25, 10][10, 25, 9][10, 25, 8][10, 25, 7][10, 25, 6][10, 25, 5][10, 25, 4][10, 25, 3][10, 25, 2][10, 25, 1][10, 25, 0]
GTP:DRP09[10, 25, 31][10, 25, 30][10, 25, 29][10, 25, 28][10, 25, 27][10, 25, 26][10, 25, 25][10, 25, 24][10, 25, 23][10, 25, 22][10, 25, 21][10, 25, 20][10, 25, 19][10, 25, 18][10, 25, 17][10, 25, 16]
GTP:DRP0A[10, 25, 47][10, 25, 46][10, 25, 45][10, 25, 44][10, 25, 43][10, 25, 42][10, 25, 41][10, 25, 40][10, 25, 39][10, 25, 38][10, 25, 37][10, 25, 36][10, 25, 35][10, 25, 34][10, 25, 33][10, 25, 32]
GTP:DRP0B[10, 25, 63][10, 25, 62][10, 25, 61][10, 25, 60][10, 25, 59][10, 25, 58][10, 25, 57][10, 25, 56][10, 25, 55][10, 25, 54][10, 25, 53][10, 25, 52][10, 25, 51][10, 25, 50][10, 25, 49][10, 25, 48]
GTP:DRP0C[11, 25, 15][11, 25, 14][11, 25, 13][11, 25, 12][11, 25, 11][11, 25, 10][11, 25, 9][11, 25, 8][11, 25, 7][11, 25, 6][11, 25, 5][11, 25, 4][11, 25, 3][11, 25, 2][11, 25, 1][11, 25, 0]
GTP:DRP0D[11, 25, 31][11, 25, 30][11, 25, 29][11, 25, 28][11, 25, 27][11, 25, 26][11, 25, 25][11, 25, 24][11, 25, 23][11, 25, 22][11, 25, 21][11, 25, 20][11, 25, 19][11, 25, 18][11, 25, 17][11, 25, 16]
GTP:DRP0E[11, 25, 47][11, 25, 46][11, 25, 45][11, 25, 44][11, 25, 43][11, 25, 42][11, 25, 41][11, 25, 40][11, 25, 39][11, 25, 38][11, 25, 37][11, 25, 36][11, 25, 35][11, 25, 34][11, 25, 33][11, 25, 32]
GTP:DRP0F[11, 25, 63][11, 25, 62][11, 25, 61][11, 25, 60][11, 25, 59][11, 25, 58][11, 25, 57][11, 25, 56][11, 25, 55][11, 25, 54][11, 25, 53][11, 25, 52][11, 25, 51][11, 25, 50][11, 25, 49][11, 25, 48]
GTP:DRP10[12, 25, 15][12, 25, 14][12, 25, 13][12, 25, 12][12, 25, 11][12, 25, 10][12, 25, 9][12, 25, 8][12, 25, 7][12, 25, 6][12, 25, 5][12, 25, 4][12, 25, 3][12, 25, 2][12, 25, 1][12, 25, 0]
GTP:DRP11[12, 25, 31][12, 25, 30][12, 25, 29][12, 25, 28][12, 25, 27][12, 25, 26][12, 25, 25][12, 25, 24][12, 25, 23][12, 25, 22][12, 25, 21][12, 25, 20][12, 25, 19][12, 25, 18][12, 25, 17][12, 25, 16]
GTP:DRP12[12, 25, 47][12, 25, 46][12, 25, 45][12, 25, 44][12, 25, 43][12, 25, 42][12, 25, 41][12, 25, 40][12, 25, 39][12, 25, 38][12, 25, 37][12, 25, 36][12, 25, 35][12, 25, 34][12, 25, 33][12, 25, 32]
GTP:DRP13[12, 25, 63][12, 25, 62][12, 25, 61][12, 25, 60][12, 25, 59][12, 25, 58][12, 25, 57][12, 25, 56][12, 25, 55][12, 25, 54][12, 25, 53][12, 25, 52][12, 25, 51][12, 25, 50][12, 25, 49][12, 25, 48]
GTP:DRP14[13, 25, 15][13, 25, 14][13, 25, 13][13, 25, 12][13, 25, 11][13, 25, 10][13, 25, 9][13, 25, 8][13, 25, 7][13, 25, 6][13, 25, 5][13, 25, 4][13, 25, 3][13, 25, 2][13, 25, 1][13, 25, 0]
GTP:DRP15[13, 25, 31][13, 25, 30][13, 25, 29][13, 25, 28][13, 25, 27][13, 25, 26][13, 25, 25][13, 25, 24][13, 25, 23][13, 25, 22][13, 25, 21][13, 25, 20][13, 25, 19][13, 25, 18][13, 25, 17][13, 25, 16]
GTP:DRP16[13, 25, 47][13, 25, 46][13, 25, 45][13, 25, 44][13, 25, 43][13, 25, 42][13, 25, 41][13, 25, 40][13, 25, 39][13, 25, 38][13, 25, 37][13, 25, 36][13, 25, 35][13, 25, 34][13, 25, 33][13, 25, 32]
GTP:DRP17[13, 25, 63][13, 25, 62][13, 25, 61][13, 25, 60][13, 25, 59][13, 25, 58][13, 25, 57][13, 25, 56][13, 25, 55][13, 25, 54][13, 25, 53][13, 25, 52][13, 25, 51][13, 25, 50][13, 25, 49][13, 25, 48]
GTP:DRP18[14, 25, 15][14, 25, 14][14, 25, 13][14, 25, 12][14, 25, 11][14, 25, 10][14, 25, 9][14, 25, 8][14, 25, 7][14, 25, 6][14, 25, 5][14, 25, 4][14, 25, 3][14, 25, 2][14, 25, 1][14, 25, 0]
GTP:DRP19[14, 25, 31][14, 25, 30][14, 25, 29][14, 25, 28][14, 25, 27][14, 25, 26][14, 25, 25][14, 25, 24][14, 25, 23][14, 25, 22][14, 25, 21][14, 25, 20][14, 25, 19][14, 25, 18][14, 25, 17][14, 25, 16]
GTP:DRP1A[14, 25, 47][14, 25, 46][14, 25, 45][14, 25, 44][14, 25, 43][14, 25, 42][14, 25, 41][14, 25, 40][14, 25, 39][14, 25, 38][14, 25, 37][14, 25, 36][14, 25, 35][14, 25, 34][14, 25, 33][14, 25, 32]
GTP:DRP1B[14, 25, 63][14, 25, 62][14, 25, 61][14, 25, 60][14, 25, 59][14, 25, 58][14, 25, 57][14, 25, 56][14, 25, 55][14, 25, 54][14, 25, 53][14, 25, 52][14, 25, 51][14, 25, 50][14, 25, 49][14, 25, 48]
GTP:DRP1C[15, 25, 15][15, 25, 14][15, 25, 13][15, 25, 12][15, 25, 11][15, 25, 10][15, 25, 9][15, 25, 8][15, 25, 7][15, 25, 6][15, 25, 5][15, 25, 4][15, 25, 3][15, 25, 2][15, 25, 1][15, 25, 0]
GTP:DRP1D[15, 25, 31][15, 25, 30][15, 25, 29][15, 25, 28][15, 25, 27][15, 25, 26][15, 25, 25][15, 25, 24][15, 25, 23][15, 25, 22][15, 25, 21][15, 25, 20][15, 25, 19][15, 25, 18][15, 25, 17][15, 25, 16]
GTP:DRP1E[15, 25, 47][15, 25, 46][15, 25, 45][15, 25, 44][15, 25, 43][15, 25, 42][15, 25, 41][15, 25, 40][15, 25, 39][15, 25, 38][15, 25, 37][15, 25, 36][15, 25, 35][15, 25, 34][15, 25, 33][15, 25, 32]
GTP:DRP1F[15, 25, 63][15, 25, 62][15, 25, 61][15, 25, 60][15, 25, 59][15, 25, 58][15, 25, 57][15, 25, 56][15, 25, 55][15, 25, 54][15, 25, 53][15, 25, 52][15, 25, 51][15, 25, 50][15, 25, 49][15, 25, 48]
GTP:DRP20[8, 24, 15][8, 24, 14][8, 24, 13][8, 24, 12][8, 24, 11][8, 24, 10][8, 24, 9][8, 24, 8][8, 24, 7][8, 24, 6][8, 24, 5][8, 24, 4][8, 24, 3][8, 24, 2][8, 24, 1][8, 24, 0]
GTP:DRP21[8, 24, 31][8, 24, 30][8, 24, 29][8, 24, 28][8, 24, 27][8, 24, 26][8, 24, 25][8, 24, 24][8, 24, 23][8, 24, 22][8, 24, 21][8, 24, 20][8, 24, 19][8, 24, 18][8, 24, 17][8, 24, 16]
GTP:DRP22[8, 24, 47][8, 24, 46][8, 24, 45][8, 24, 44][8, 24, 43][8, 24, 42][8, 24, 41][8, 24, 40][8, 24, 39][8, 24, 38][8, 24, 37][8, 24, 36][8, 24, 35][8, 24, 34][8, 24, 33][8, 24, 32]
GTP:DRP23[8, 24, 63][8, 24, 62][8, 24, 61][8, 24, 60][8, 24, 59][8, 24, 58][8, 24, 57][8, 24, 56][8, 24, 55][8, 24, 54][8, 24, 53][8, 24, 52][8, 24, 51][8, 24, 50][8, 24, 49][8, 24, 48]
GTP:DRP24[9, 24, 15][9, 24, 14][9, 24, 13][9, 24, 12][9, 24, 11][9, 24, 10][9, 24, 9][9, 24, 8][9, 24, 7][9, 24, 6][9, 24, 5][9, 24, 4][9, 24, 3][9, 24, 2][9, 24, 1][9, 24, 0]
GTP:DRP25[9, 24, 31][9, 24, 30][9, 24, 29][9, 24, 28][9, 24, 27][9, 24, 26][9, 24, 25][9, 24, 24][9, 24, 23][9, 24, 22][9, 24, 21][9, 24, 20][9, 24, 19][9, 24, 18][9, 24, 17][9, 24, 16]
GTP:DRP26[9, 24, 47][9, 24, 46][9, 24, 45][9, 24, 44][9, 24, 43][9, 24, 42][9, 24, 41][9, 24, 40][9, 24, 39][9, 24, 38][9, 24, 37][9, 24, 36][9, 24, 35][9, 24, 34][9, 24, 33][9, 24, 32]
GTP:DRP27[9, 24, 63][9, 24, 62][9, 24, 61][9, 24, 60][9, 24, 59][9, 24, 58][9, 24, 57][9, 24, 56][9, 24, 55][9, 24, 54][9, 24, 53][9, 24, 52][9, 24, 51][9, 24, 50][9, 24, 49][9, 24, 48]
GTP:DRP28[10, 24, 15][10, 24, 14][10, 24, 13][10, 24, 12][10, 24, 11][10, 24, 10][10, 24, 9][10, 24, 8][10, 24, 7][10, 24, 6][10, 24, 5][10, 24, 4][10, 24, 3][10, 24, 2][10, 24, 1][10, 24, 0]
GTP:DRP29[10, 24, 31][10, 24, 30][10, 24, 29][10, 24, 28][10, 24, 27][10, 24, 26][10, 24, 25][10, 24, 24][10, 24, 23][10, 24, 22][10, 24, 21][10, 24, 20][10, 24, 19][10, 24, 18][10, 24, 17][10, 24, 16]
GTP:DRP2A[10, 24, 47][10, 24, 46][10, 24, 45][10, 24, 44][10, 24, 43][10, 24, 42][10, 24, 41][10, 24, 40][10, 24, 39][10, 24, 38][10, 24, 37][10, 24, 36][10, 24, 35][10, 24, 34][10, 24, 33][10, 24, 32]
GTP:DRP2B[10, 24, 63][10, 24, 62][10, 24, 61][10, 24, 60][10, 24, 59][10, 24, 58][10, 24, 57][10, 24, 56][10, 24, 55][10, 24, 54][10, 24, 53][10, 24, 52][10, 24, 51][10, 24, 50][10, 24, 49][10, 24, 48]
GTP:DRP2C[11, 24, 15][11, 24, 14][11, 24, 13][11, 24, 12][11, 24, 11][11, 24, 10][11, 24, 9][11, 24, 8][11, 24, 7][11, 24, 6][11, 24, 5][11, 24, 4][11, 24, 3][11, 24, 2][11, 24, 1][11, 24, 0]
GTP:DRP2D[11, 24, 31][11, 24, 30][11, 24, 29][11, 24, 28][11, 24, 27][11, 24, 26][11, 24, 25][11, 24, 24][11, 24, 23][11, 24, 22][11, 24, 21][11, 24, 20][11, 24, 19][11, 24, 18][11, 24, 17][11, 24, 16]
GTP:DRP2E[11, 24, 47][11, 24, 46][11, 24, 45][11, 24, 44][11, 24, 43][11, 24, 42][11, 24, 41][11, 24, 40][11, 24, 39][11, 24, 38][11, 24, 37][11, 24, 36][11, 24, 35][11, 24, 34][11, 24, 33][11, 24, 32]
GTP:DRP2F[11, 24, 63][11, 24, 62][11, 24, 61][11, 24, 60][11, 24, 59][11, 24, 58][11, 24, 57][11, 24, 56][11, 24, 55][11, 24, 54][11, 24, 53][11, 24, 52][11, 24, 51][11, 24, 50][11, 24, 49][11, 24, 48]
GTP:DRP30[12, 24, 15][12, 24, 14][12, 24, 13][12, 24, 12][12, 24, 11][12, 24, 10][12, 24, 9][12, 24, 8][12, 24, 7][12, 24, 6][12, 24, 5][12, 24, 4][12, 24, 3][12, 24, 2][12, 24, 1][12, 24, 0]
GTP:DRP31[12, 24, 31][12, 24, 30][12, 24, 29][12, 24, 28][12, 24, 27][12, 24, 26][12, 24, 25][12, 24, 24][12, 24, 23][12, 24, 22][12, 24, 21][12, 24, 20][12, 24, 19][12, 24, 18][12, 24, 17][12, 24, 16]
GTP:DRP32[12, 24, 47][12, 24, 46][12, 24, 45][12, 24, 44][12, 24, 43][12, 24, 42][12, 24, 41][12, 24, 40][12, 24, 39][12, 24, 38][12, 24, 37][12, 24, 36][12, 24, 35][12, 24, 34][12, 24, 33][12, 24, 32]
GTP:DRP33[12, 24, 63][12, 24, 62][12, 24, 61][12, 24, 60][12, 24, 59][12, 24, 58][12, 24, 57][12, 24, 56][12, 24, 55][12, 24, 54][12, 24, 53][12, 24, 52][12, 24, 51][12, 24, 50][12, 24, 49][12, 24, 48]
GTP:DRP34[13, 24, 15][13, 24, 14][13, 24, 13][13, 24, 12][13, 24, 11][13, 24, 10][13, 24, 9][13, 24, 8][13, 24, 7][13, 24, 6][13, 24, 5][13, 24, 4][13, 24, 3][13, 24, 2][13, 24, 1][13, 24, 0]
GTP:DRP35[13, 24, 31][13, 24, 30][13, 24, 29][13, 24, 28][13, 24, 27][13, 24, 26][13, 24, 25][13, 24, 24][13, 24, 23][13, 24, 22][13, 24, 21][13, 24, 20][13, 24, 19][13, 24, 18][13, 24, 17][13, 24, 16]
GTP:DRP36[13, 24, 47][13, 24, 46][13, 24, 45][13, 24, 44][13, 24, 43][13, 24, 42][13, 24, 41][13, 24, 40][13, 24, 39][13, 24, 38][13, 24, 37][13, 24, 36][13, 24, 35][13, 24, 34][13, 24, 33][13, 24, 32]
GTP:DRP37[13, 24, 63][13, 24, 62][13, 24, 61][13, 24, 60][13, 24, 59][13, 24, 58][13, 24, 57][13, 24, 56][13, 24, 55][13, 24, 54][13, 24, 53][13, 24, 52][13, 24, 51][13, 24, 50][13, 24, 49][13, 24, 48]
GTP:DRP38[14, 24, 15][14, 24, 14][14, 24, 13][14, 24, 12][14, 24, 11][14, 24, 10][14, 24, 9][14, 24, 8][14, 24, 7][14, 24, 6][14, 24, 5][14, 24, 4][14, 24, 3][14, 24, 2][14, 24, 1][14, 24, 0]
GTP:DRP39[14, 24, 31][14, 24, 30][14, 24, 29][14, 24, 28][14, 24, 27][14, 24, 26][14, 24, 25][14, 24, 24][14, 24, 23][14, 24, 22][14, 24, 21][14, 24, 20][14, 24, 19][14, 24, 18][14, 24, 17][14, 24, 16]
GTP:DRP3A[14, 24, 47][14, 24, 46][14, 24, 45][14, 24, 44][14, 24, 43][14, 24, 42][14, 24, 41][14, 24, 40][14, 24, 39][14, 24, 38][14, 24, 37][14, 24, 36][14, 24, 35][14, 24, 34][14, 24, 33][14, 24, 32]
GTP:DRP3B[14, 24, 63][14, 24, 62][14, 24, 61][14, 24, 60][14, 24, 59][14, 24, 58][14, 24, 57][14, 24, 56][14, 24, 55][14, 24, 54][14, 24, 53][14, 24, 52][14, 24, 51][14, 24, 50][14, 24, 49][14, 24, 48]
GTP:DRP3C[15, 24, 15][15, 24, 14][15, 24, 13][15, 24, 12][15, 24, 11][15, 24, 10][15, 24, 9][15, 24, 8][15, 24, 7][15, 24, 6][15, 24, 5][15, 24, 4][15, 24, 3][15, 24, 2][15, 24, 1][15, 24, 0]
GTP:DRP3D[15, 24, 31][15, 24, 30][15, 24, 29][15, 24, 28][15, 24, 27][15, 24, 26][15, 24, 25][15, 24, 24][15, 24, 23][15, 24, 22][15, 24, 21][15, 24, 20][15, 24, 19][15, 24, 18][15, 24, 17][15, 24, 16]
GTP:DRP3E[15, 24, 47][15, 24, 46][15, 24, 45][15, 24, 44][15, 24, 43][15, 24, 42][15, 24, 41][15, 24, 40][15, 24, 39][15, 24, 38][15, 24, 37][15, 24, 36][15, 24, 35][15, 24, 34][15, 24, 33][15, 24, 32]
GTP:DRP3F[15, 24, 63][15, 24, 62][15, 24, 61][15, 24, 60][15, 24, 59][15, 24, 58][15, 24, 57][15, 24, 56][15, 24, 55][15, 24, 54][15, 24, 53][15, 24, 52][15, 24, 51][15, 24, 50][15, 24, 49][15, 24, 48]
GTP:DRP40[8, 23, 15][8, 23, 14][8, 23, 13][8, 23, 12][8, 23, 11][8, 23, 10][8, 23, 9][8, 23, 8][8, 23, 7][8, 23, 6][8, 23, 5][8, 23, 4][8, 23, 3][8, 23, 2][8, 23, 1][8, 23, 0]
GTP:DRP41[8, 23, 31][8, 23, 30][8, 23, 29][8, 23, 28][8, 23, 27][8, 23, 26][8, 23, 25][8, 23, 24][8, 23, 23][8, 23, 22][8, 23, 21][8, 23, 20][8, 23, 19][8, 23, 18][8, 23, 17][8, 23, 16]
GTP:DRP42[8, 23, 47][8, 23, 46][8, 23, 45][8, 23, 44][8, 23, 43][8, 23, 42][8, 23, 41][8, 23, 40][8, 23, 39][8, 23, 38][8, 23, 37][8, 23, 36][8, 23, 35][8, 23, 34][8, 23, 33][8, 23, 32]
GTP:DRP43[8, 23, 63][8, 23, 62][8, 23, 61][8, 23, 60][8, 23, 59][8, 23, 58][8, 23, 57][8, 23, 56][8, 23, 55][8, 23, 54][8, 23, 53][8, 23, 52][8, 23, 51][8, 23, 50][8, 23, 49][8, 23, 48]
GTP:DRP44[9, 23, 15][9, 23, 14][9, 23, 13][9, 23, 12][9, 23, 11][9, 23, 10][9, 23, 9][9, 23, 8][9, 23, 7][9, 23, 6][9, 23, 5][9, 23, 4][9, 23, 3][9, 23, 2][9, 23, 1][9, 23, 0]
GTP:DRP45[9, 23, 31][9, 23, 30][9, 23, 29][9, 23, 28][9, 23, 27][9, 23, 26][9, 23, 25][9, 23, 24][9, 23, 23][9, 23, 22][9, 23, 21][9, 23, 20][9, 23, 19][9, 23, 18][9, 23, 17][9, 23, 16]
GTP:DRP46[9, 23, 47][9, 23, 46][9, 23, 45][9, 23, 44][9, 23, 43][9, 23, 42][9, 23, 41][9, 23, 40][9, 23, 39][9, 23, 38][9, 23, 37][9, 23, 36][9, 23, 35][9, 23, 34][9, 23, 33][9, 23, 32]
GTP:DRP47[9, 23, 63][9, 23, 62][9, 23, 61][9, 23, 60][9, 23, 59][9, 23, 58][9, 23, 57][9, 23, 56][9, 23, 55][9, 23, 54][9, 23, 53][9, 23, 52][9, 23, 51][9, 23, 50][9, 23, 49][9, 23, 48]
GTP:DRP48[10, 23, 15][10, 23, 14][10, 23, 13][10, 23, 12][10, 23, 11][10, 23, 10][10, 23, 9][10, 23, 8][10, 23, 7][10, 23, 6][10, 23, 5][10, 23, 4][10, 23, 3][10, 23, 2][10, 23, 1][10, 23, 0]
GTP:DRP49[10, 23, 31][10, 23, 30][10, 23, 29][10, 23, 28][10, 23, 27][10, 23, 26][10, 23, 25][10, 23, 24][10, 23, 23][10, 23, 22][10, 23, 21][10, 23, 20][10, 23, 19][10, 23, 18][10, 23, 17][10, 23, 16]
GTP:DRP4A[10, 23, 47][10, 23, 46][10, 23, 45][10, 23, 44][10, 23, 43][10, 23, 42][10, 23, 41][10, 23, 40][10, 23, 39][10, 23, 38][10, 23, 37][10, 23, 36][10, 23, 35][10, 23, 34][10, 23, 33][10, 23, 32]
GTP:DRP4B[10, 23, 63][10, 23, 62][10, 23, 61][10, 23, 60][10, 23, 59][10, 23, 58][10, 23, 57][10, 23, 56][10, 23, 55][10, 23, 54][10, 23, 53][10, 23, 52][10, 23, 51][10, 23, 50][10, 23, 49][10, 23, 48]
GTP:DRP4C[11, 23, 15][11, 23, 14][11, 23, 13][11, 23, 12][11, 23, 11][11, 23, 10][11, 23, 9][11, 23, 8][11, 23, 7][11, 23, 6][11, 23, 5][11, 23, 4][11, 23, 3][11, 23, 2][11, 23, 1][11, 23, 0]
GTP:DRP4D[11, 23, 31][11, 23, 30][11, 23, 29][11, 23, 28][11, 23, 27][11, 23, 26][11, 23, 25][11, 23, 24][11, 23, 23][11, 23, 22][11, 23, 21][11, 23, 20][11, 23, 19][11, 23, 18][11, 23, 17][11, 23, 16]
GTP:DRP4E[11, 23, 47][11, 23, 46][11, 23, 45][11, 23, 44][11, 23, 43][11, 23, 42][11, 23, 41][11, 23, 40][11, 23, 39][11, 23, 38][11, 23, 37][11, 23, 36][11, 23, 35][11, 23, 34][11, 23, 33][11, 23, 32]
GTP:DRP4F[11, 23, 63][11, 23, 62][11, 23, 61][11, 23, 60][11, 23, 59][11, 23, 58][11, 23, 57][11, 23, 56][11, 23, 55][11, 23, 54][11, 23, 53][11, 23, 52][11, 23, 51][11, 23, 50][11, 23, 49][11, 23, 48]
GTP:DRP50[12, 23, 15][12, 23, 14][12, 23, 13][12, 23, 12][12, 23, 11][12, 23, 10][12, 23, 9][12, 23, 8][12, 23, 7][12, 23, 6][12, 23, 5][12, 23, 4][12, 23, 3][12, 23, 2][12, 23, 1][12, 23, 0]
GTP:DRP51[12, 23, 31][12, 23, 30][12, 23, 29][12, 23, 28][12, 23, 27][12, 23, 26][12, 23, 25][12, 23, 24][12, 23, 23][12, 23, 22][12, 23, 21][12, 23, 20][12, 23, 19][12, 23, 18][12, 23, 17][12, 23, 16]
GTP:DRP52[12, 23, 47][12, 23, 46][12, 23, 45][12, 23, 44][12, 23, 43][12, 23, 42][12, 23, 41][12, 23, 40][12, 23, 39][12, 23, 38][12, 23, 37][12, 23, 36][12, 23, 35][12, 23, 34][12, 23, 33][12, 23, 32]
GTP:DRP53[12, 23, 63][12, 23, 62][12, 23, 61][12, 23, 60][12, 23, 59][12, 23, 58][12, 23, 57][12, 23, 56][12, 23, 55][12, 23, 54][12, 23, 53][12, 23, 52][12, 23, 51][12, 23, 50][12, 23, 49][12, 23, 48]
GTP:DRP54[13, 23, 15][13, 23, 14][13, 23, 13][13, 23, 12][13, 23, 11][13, 23, 10][13, 23, 9][13, 23, 8][13, 23, 7][13, 23, 6][13, 23, 5][13, 23, 4][13, 23, 3][13, 23, 2][13, 23, 1][13, 23, 0]
GTP:DRP55[13, 23, 31][13, 23, 30][13, 23, 29][13, 23, 28][13, 23, 27][13, 23, 26][13, 23, 25][13, 23, 24][13, 23, 23][13, 23, 22][13, 23, 21][13, 23, 20][13, 23, 19][13, 23, 18][13, 23, 17][13, 23, 16]
GTP:DRP56[13, 23, 47][13, 23, 46][13, 23, 45][13, 23, 44][13, 23, 43][13, 23, 42][13, 23, 41][13, 23, 40][13, 23, 39][13, 23, 38][13, 23, 37][13, 23, 36][13, 23, 35][13, 23, 34][13, 23, 33][13, 23, 32]
GTP:DRP57[13, 23, 63][13, 23, 62][13, 23, 61][13, 23, 60][13, 23, 59][13, 23, 58][13, 23, 57][13, 23, 56][13, 23, 55][13, 23, 54][13, 23, 53][13, 23, 52][13, 23, 51][13, 23, 50][13, 23, 49][13, 23, 48]
GTP:DRP58[14, 23, 15][14, 23, 14][14, 23, 13][14, 23, 12][14, 23, 11][14, 23, 10][14, 23, 9][14, 23, 8][14, 23, 7][14, 23, 6][14, 23, 5][14, 23, 4][14, 23, 3][14, 23, 2][14, 23, 1][14, 23, 0]
GTP:DRP59[14, 23, 31][14, 23, 30][14, 23, 29][14, 23, 28][14, 23, 27][14, 23, 26][14, 23, 25][14, 23, 24][14, 23, 23][14, 23, 22][14, 23, 21][14, 23, 20][14, 23, 19][14, 23, 18][14, 23, 17][14, 23, 16]
GTP:DRP5A[14, 23, 47][14, 23, 46][14, 23, 45][14, 23, 44][14, 23, 43][14, 23, 42][14, 23, 41][14, 23, 40][14, 23, 39][14, 23, 38][14, 23, 37][14, 23, 36][14, 23, 35][14, 23, 34][14, 23, 33][14, 23, 32]
GTP:DRP5B[14, 23, 63][14, 23, 62][14, 23, 61][14, 23, 60][14, 23, 59][14, 23, 58][14, 23, 57][14, 23, 56][14, 23, 55][14, 23, 54][14, 23, 53][14, 23, 52][14, 23, 51][14, 23, 50][14, 23, 49][14, 23, 48]
GTP:DRP5C[15, 23, 15][15, 23, 14][15, 23, 13][15, 23, 12][15, 23, 11][15, 23, 10][15, 23, 9][15, 23, 8][15, 23, 7][15, 23, 6][15, 23, 5][15, 23, 4][15, 23, 3][15, 23, 2][15, 23, 1][15, 23, 0]
GTP:DRP5D[15, 23, 31][15, 23, 30][15, 23, 29][15, 23, 28][15, 23, 27][15, 23, 26][15, 23, 25][15, 23, 24][15, 23, 23][15, 23, 22][15, 23, 21][15, 23, 20][15, 23, 19][15, 23, 18][15, 23, 17][15, 23, 16]
GTP:DRP5E[15, 23, 47][15, 23, 46][15, 23, 45][15, 23, 44][15, 23, 43][15, 23, 42][15, 23, 41][15, 23, 40][15, 23, 39][15, 23, 38][15, 23, 37][15, 23, 36][15, 23, 35][15, 23, 34][15, 23, 33][15, 23, 32]
GTP:DRP5F[15, 23, 63][15, 23, 62][15, 23, 61][15, 23, 60][15, 23, 59][15, 23, 58][15, 23, 57][15, 23, 56][15, 23, 55][15, 23, 54][15, 23, 53][15, 23, 52][15, 23, 51][15, 23, 50][15, 23, 49][15, 23, 48]
GTP:DRP60[8, 22, 15][8, 22, 14][8, 22, 13][8, 22, 12][8, 22, 11][8, 22, 10][8, 22, 9][8, 22, 8][8, 22, 7][8, 22, 6][8, 22, 5][8, 22, 4][8, 22, 3][8, 22, 2][8, 22, 1][8, 22, 0]
GTP:DRP61[8, 22, 31][8, 22, 30][8, 22, 29][8, 22, 28][8, 22, 27][8, 22, 26][8, 22, 25][8, 22, 24][8, 22, 23][8, 22, 22][8, 22, 21][8, 22, 20][8, 22, 19][8, 22, 18][8, 22, 17][8, 22, 16]
GTP:DRP62[8, 22, 47][8, 22, 46][8, 22, 45][8, 22, 44][8, 22, 43][8, 22, 42][8, 22, 41][8, 22, 40][8, 22, 39][8, 22, 38][8, 22, 37][8, 22, 36][8, 22, 35][8, 22, 34][8, 22, 33][8, 22, 32]
GTP:DRP63[8, 22, 63][8, 22, 62][8, 22, 61][8, 22, 60][8, 22, 59][8, 22, 58][8, 22, 57][8, 22, 56][8, 22, 55][8, 22, 54][8, 22, 53][8, 22, 52][8, 22, 51][8, 22, 50][8, 22, 49][8, 22, 48]
GTP:DRP64[9, 22, 15][9, 22, 14][9, 22, 13][9, 22, 12][9, 22, 11][9, 22, 10][9, 22, 9][9, 22, 8][9, 22, 7][9, 22, 6][9, 22, 5][9, 22, 4][9, 22, 3][9, 22, 2][9, 22, 1][9, 22, 0]
GTP:DRP65[9, 22, 31][9, 22, 30][9, 22, 29][9, 22, 28][9, 22, 27][9, 22, 26][9, 22, 25][9, 22, 24][9, 22, 23][9, 22, 22][9, 22, 21][9, 22, 20][9, 22, 19][9, 22, 18][9, 22, 17][9, 22, 16]
GTP:DRP66[9, 22, 47][9, 22, 46][9, 22, 45][9, 22, 44][9, 22, 43][9, 22, 42][9, 22, 41][9, 22, 40][9, 22, 39][9, 22, 38][9, 22, 37][9, 22, 36][9, 22, 35][9, 22, 34][9, 22, 33][9, 22, 32]
GTP:DRP67[9, 22, 63][9, 22, 62][9, 22, 61][9, 22, 60][9, 22, 59][9, 22, 58][9, 22, 57][9, 22, 56][9, 22, 55][9, 22, 54][9, 22, 53][9, 22, 52][9, 22, 51][9, 22, 50][9, 22, 49][9, 22, 48]
GTP:DRP68[10, 22, 15][10, 22, 14][10, 22, 13][10, 22, 12][10, 22, 11][10, 22, 10][10, 22, 9][10, 22, 8][10, 22, 7][10, 22, 6][10, 22, 5][10, 22, 4][10, 22, 3][10, 22, 2][10, 22, 1][10, 22, 0]
GTP:DRP69[10, 22, 31][10, 22, 30][10, 22, 29][10, 22, 28][10, 22, 27][10, 22, 26][10, 22, 25][10, 22, 24][10, 22, 23][10, 22, 22][10, 22, 21][10, 22, 20][10, 22, 19][10, 22, 18][10, 22, 17][10, 22, 16]
GTP:DRP6A[10, 22, 47][10, 22, 46][10, 22, 45][10, 22, 44][10, 22, 43][10, 22, 42][10, 22, 41][10, 22, 40][10, 22, 39][10, 22, 38][10, 22, 37][10, 22, 36][10, 22, 35][10, 22, 34][10, 22, 33][10, 22, 32]
GTP:DRP6B[10, 22, 63][10, 22, 62][10, 22, 61][10, 22, 60][10, 22, 59][10, 22, 58][10, 22, 57][10, 22, 56][10, 22, 55][10, 22, 54][10, 22, 53][10, 22, 52][10, 22, 51][10, 22, 50][10, 22, 49][10, 22, 48]
GTP:DRP6C[11, 22, 15][11, 22, 14][11, 22, 13][11, 22, 12][11, 22, 11][11, 22, 10][11, 22, 9][11, 22, 8][11, 22, 7][11, 22, 6][11, 22, 5][11, 22, 4][11, 22, 3][11, 22, 2][11, 22, 1][11, 22, 0]
GTP:DRP6D[11, 22, 31][11, 22, 30][11, 22, 29][11, 22, 28][11, 22, 27][11, 22, 26][11, 22, 25][11, 22, 24][11, 22, 23][11, 22, 22][11, 22, 21][11, 22, 20][11, 22, 19][11, 22, 18][11, 22, 17][11, 22, 16]
GTP:DRP6E[11, 22, 47][11, 22, 46][11, 22, 45][11, 22, 44][11, 22, 43][11, 22, 42][11, 22, 41][11, 22, 40][11, 22, 39][11, 22, 38][11, 22, 37][11, 22, 36][11, 22, 35][11, 22, 34][11, 22, 33][11, 22, 32]
GTP:DRP6F[11, 22, 63][11, 22, 62][11, 22, 61][11, 22, 60][11, 22, 59][11, 22, 58][11, 22, 57][11, 22, 56][11, 22, 55][11, 22, 54][11, 22, 53][11, 22, 52][11, 22, 51][11, 22, 50][11, 22, 49][11, 22, 48]
GTP:DRP70[12, 22, 15][12, 22, 14][12, 22, 13][12, 22, 12][12, 22, 11][12, 22, 10][12, 22, 9][12, 22, 8][12, 22, 7][12, 22, 6][12, 22, 5][12, 22, 4][12, 22, 3][12, 22, 2][12, 22, 1][12, 22, 0]
GTP:DRP71[12, 22, 31][12, 22, 30][12, 22, 29][12, 22, 28][12, 22, 27][12, 22, 26][12, 22, 25][12, 22, 24][12, 22, 23][12, 22, 22][12, 22, 21][12, 22, 20][12, 22, 19][12, 22, 18][12, 22, 17][12, 22, 16]
GTP:DRP72[12, 22, 47][12, 22, 46][12, 22, 45][12, 22, 44][12, 22, 43][12, 22, 42][12, 22, 41][12, 22, 40][12, 22, 39][12, 22, 38][12, 22, 37][12, 22, 36][12, 22, 35][12, 22, 34][12, 22, 33][12, 22, 32]
GTP:DRP73[12, 22, 63][12, 22, 62][12, 22, 61][12, 22, 60][12, 22, 59][12, 22, 58][12, 22, 57][12, 22, 56][12, 22, 55][12, 22, 54][12, 22, 53][12, 22, 52][12, 22, 51][12, 22, 50][12, 22, 49][12, 22, 48]
GTP:DRP74[13, 22, 15][13, 22, 14][13, 22, 13][13, 22, 12][13, 22, 11][13, 22, 10][13, 22, 9][13, 22, 8][13, 22, 7][13, 22, 6][13, 22, 5][13, 22, 4][13, 22, 3][13, 22, 2][13, 22, 1][13, 22, 0]
GTP:DRP75[13, 22, 31][13, 22, 30][13, 22, 29][13, 22, 28][13, 22, 27][13, 22, 26][13, 22, 25][13, 22, 24][13, 22, 23][13, 22, 22][13, 22, 21][13, 22, 20][13, 22, 19][13, 22, 18][13, 22, 17][13, 22, 16]
GTP:DRP76[13, 22, 47][13, 22, 46][13, 22, 45][13, 22, 44][13, 22, 43][13, 22, 42][13, 22, 41][13, 22, 40][13, 22, 39][13, 22, 38][13, 22, 37][13, 22, 36][13, 22, 35][13, 22, 34][13, 22, 33][13, 22, 32]
GTP:DRP77[13, 22, 63][13, 22, 62][13, 22, 61][13, 22, 60][13, 22, 59][13, 22, 58][13, 22, 57][13, 22, 56][13, 22, 55][13, 22, 54][13, 22, 53][13, 22, 52][13, 22, 51][13, 22, 50][13, 22, 49][13, 22, 48]
GTP:DRP78[14, 22, 15][14, 22, 14][14, 22, 13][14, 22, 12][14, 22, 11][14, 22, 10][14, 22, 9][14, 22, 8][14, 22, 7][14, 22, 6][14, 22, 5][14, 22, 4][14, 22, 3][14, 22, 2][14, 22, 1][14, 22, 0]
GTP:DRP79[14, 22, 31][14, 22, 30][14, 22, 29][14, 22, 28][14, 22, 27][14, 22, 26][14, 22, 25][14, 22, 24][14, 22, 23][14, 22, 22][14, 22, 21][14, 22, 20][14, 22, 19][14, 22, 18][14, 22, 17][14, 22, 16]
GTP:DRP7A[14, 22, 47][14, 22, 46][14, 22, 45][14, 22, 44][14, 22, 43][14, 22, 42][14, 22, 41][14, 22, 40][14, 22, 39][14, 22, 38][14, 22, 37][14, 22, 36][14, 22, 35][14, 22, 34][14, 22, 33][14, 22, 32]
GTP:DRP7B[14, 22, 63][14, 22, 62][14, 22, 61][14, 22, 60][14, 22, 59][14, 22, 58][14, 22, 57][14, 22, 56][14, 22, 55][14, 22, 54][14, 22, 53][14, 22, 52][14, 22, 51][14, 22, 50][14, 22, 49][14, 22, 48]
GTP:DRP7C[15, 22, 15][15, 22, 14][15, 22, 13][15, 22, 12][15, 22, 11][15, 22, 10][15, 22, 9][15, 22, 8][15, 22, 7][15, 22, 6][15, 22, 5][15, 22, 4][15, 22, 3][15, 22, 2][15, 22, 1][15, 22, 0]
GTP:DRP7D[15, 22, 31][15, 22, 30][15, 22, 29][15, 22, 28][15, 22, 27][15, 22, 26][15, 22, 25][15, 22, 24][15, 22, 23][15, 22, 22][15, 22, 21][15, 22, 20][15, 22, 19][15, 22, 18][15, 22, 17][15, 22, 16]
GTP:DRP7E[15, 22, 47][15, 22, 46][15, 22, 45][15, 22, 44][15, 22, 43][15, 22, 42][15, 22, 41][15, 22, 40][15, 22, 39][15, 22, 38][15, 22, 37][15, 22, 36][15, 22, 35][15, 22, 34][15, 22, 33][15, 22, 32]
GTP:DRP7F[15, 22, 63][15, 22, 62][15, 22, 61][15, 22, 60][15, 22, 59][15, 22, 58][15, 22, 57][15, 22, 56][15, 22, 55][15, 22, 54][15, 22, 53][15, 22, 52][15, 22, 51][15, 22, 50][15, 22, 49][15, 22, 48]
Non-inverted[15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:A_TXDIFFCTRL_0[8, 25, 31][8, 25, 30][8, 25, 29][8, 25, 28]
GTP:A_TXDIFFCTRL_1[8, 23, 31][8, 23, 30][8, 23, 29][8, 23, 28]
GTP:CB2_INH_CC_PERIOD_0[12, 25, 29][12, 25, 28][12, 25, 27][12, 25, 26]
GTP:CB2_INH_CC_PERIOD_1[12, 23, 29][12, 23, 28][12, 23, 27][12, 23, 26]
GTP:CHAN_BOND_1_MAX_SKEW_0[12, 25, 13][12, 25, 12][12, 25, 11][12, 25, 10]
GTP:CHAN_BOND_1_MAX_SKEW_1[12, 23, 13][12, 23, 12][12, 23, 11][12, 23, 10]
GTP:CHAN_BOND_2_MAX_SKEW_0[13, 25, 13][13, 25, 12][13, 25, 11][13, 25, 10]
GTP:CHAN_BOND_2_MAX_SKEW_1[13, 23, 13][13, 23, 12][13, 23, 11][13, 23, 10]
GTP:CHAN_BOND_SEQ_1_ENABLE_0[11, 25, 63][11, 25, 62][11, 25, 61][11, 25, 60]
GTP:CHAN_BOND_SEQ_1_ENABLE_1[11, 23, 63][11, 23, 62][11, 23, 61][11, 23, 60]
GTP:CHAN_BOND_SEQ_2_ENABLE_0[12, 25, 63][12, 25, 62][12, 25, 61][12, 25, 60]
GTP:CHAN_BOND_SEQ_2_ENABLE_1[12, 23, 63][12, 23, 62][12, 23, 61][12, 23, 60]
GTP:CLK_COR_SEQ_1_ENABLE_0[13, 25, 63][13, 25, 62][13, 25, 61][13, 25, 60]
GTP:CLK_COR_SEQ_1_ENABLE_1[13, 23, 63][13, 23, 62][13, 23, 61][13, 23, 60]
GTP:CLK_COR_SEQ_2_ENABLE_0[14, 25, 63][14, 25, 62][14, 25, 61][14, 25, 60]
GTP:CLK_COR_SEQ_2_ENABLE_1[14, 23, 63][14, 23, 62][14, 23, 61][14, 23, 60]
GTP:COM_BURST_VAL_0[8, 24, 35][8, 24, 34][8, 24, 33][8, 24, 32]
GTP:COM_BURST_VAL_1[8, 22, 35][8, 22, 34][8, 22, 33][8, 22, 32]
GTP:RX_IDLE_HI_CNT_0[9, 25, 59][9, 25, 58][9, 25, 57][9, 25, 56]
GTP:RX_IDLE_HI_CNT_1[9, 23, 59][9, 23, 58][9, 23, 57][9, 23, 56]
GTP:RX_IDLE_LO_CNT_0[9, 25, 63][9, 25, 62][9, 25, 61][9, 25, 60]
GTP:RX_IDLE_LO_CNT_1[9, 23, 63][9, 23, 62][9, 23, 61][9, 23, 60]
Non-inverted[3][2][1][0]
GTP:A_LOOPBACK_0[8, 25, 20][8, 25, 19][8, 25, 18]
GTP:A_LOOPBACK_1[8, 23, 20][8, 23, 19][8, 23, 18]
GTP:A_RXENPRBSTST_0[8, 25, 59][8, 25, 58][8, 25, 57]
GTP:A_RXENPRBSTST_1[8, 23, 59][8, 23, 58][8, 23, 57]
GTP:A_TXBUFDIFFCTRL_0[8, 25, 27][8, 25, 26][8, 25, 25]
GTP:A_TXBUFDIFFCTRL_1[8, 23, 27][8, 23, 26][8, 23, 25]
GTP:A_TXENPRBSTST_0[8, 25, 56][8, 25, 55][8, 25, 54]
GTP:A_TXENPRBSTST_1[8, 23, 56][8, 23, 55][8, 23, 54]
GTP:A_TXPREEMPHASIS_0[8, 25, 23][8, 25, 22][8, 25, 21]
GTP:A_TXPREEMPHASIS_1[8, 23, 23][8, 23, 22][8, 23, 21]
GTP:OOBDETECT_THRESHOLD_0[14, 24, 2][14, 24, 1][14, 24, 0]
GTP:OOBDETECT_THRESHOLD_1[14, 22, 2][14, 22, 1][14, 22, 0]
GTP:PLLLKDET_CFG_0[12, 24, 61][12, 24, 60][12, 24, 59]
GTP:PLLLKDET_CFG_1[12, 22, 61][12, 22, 60][12, 22, 59]
GTP:SATA_BURST_VAL_0[8, 24, 38][8, 24, 37][8, 24, 36]
GTP:SATA_BURST_VAL_1[8, 22, 38][8, 22, 37][8, 22, 36]
GTP:SATA_IDLE_VAL_0[8, 24, 41][8, 24, 40][8, 24, 39]
GTP:SATA_IDLE_VAL_1[8, 22, 41][8, 22, 40][8, 22, 39]
GTP:TXRX_INVERT_0[9, 24, 4][9, 24, 3][9, 24, 2]
GTP:TXRX_INVERT_1[9, 22, 4][9, 22, 3][9, 22, 2]
GTP:TX_IDLE_DELAY_0[9, 24, 45][9, 24, 44][9, 24, 43]
GTP:TX_IDLE_DELAY_1[9, 22, 45][9, 22, 44][9, 22, 43]
Non-inverted[2][1][0]
GTP:AC_CAP_DIS_0[14, 24, 5]
GTP:AC_CAP_DIS_1[14, 22, 5]
GTP:A_GTPRESET_0[8, 25, 45]
GTP:A_GTPRESET_1[8, 23, 45]
GTP:A_PLLLKDETEN_0[8, 25, 38]
GTP:A_PLLLKDETEN_1[8, 23, 38]
GTP:A_PLLPOWERDOWN_0[8, 25, 47]
GTP:A_PLLPOWERDOWN_1[8, 23, 47]
GTP:A_PRBSCNTRESET_0[8, 25, 53]
GTP:A_PRBSCNTRESET_1[8, 23, 53]
GTP:A_RXBUFRESET_0[8, 25, 44]
GTP:A_RXBUFRESET_1[8, 23, 44]
GTP:A_RXCDRFREQRESET_0[8, 25, 40]
GTP:A_RXCDRFREQRESET_1[8, 23, 40]
GTP:A_RXCDRHOLD_0[8, 25, 41]
GTP:A_RXCDRHOLD_1[8, 23, 41]
GTP:A_RXCDRPHASERESET_0[8, 25, 39]
GTP:A_RXCDRPHASERESET_1[8, 23, 39]
GTP:A_RXCDRRESET_0[8, 25, 46]
GTP:A_RXCDRRESET_1[8, 23, 46]
GTP:A_RXENPMAPHASEALIGN_0[8, 25, 36]
GTP:A_RXENPMAPHASEALIGN_1[8, 23, 36]
GTP:A_RXPMASETPHASE_0[8, 25, 37]
GTP:A_RXPMASETPHASE_1[8, 23, 37]
GTP:A_RXPOLARITY_0[8, 25, 17]
GTP:A_RXPOLARITY_1[8, 23, 17]
GTP:A_RXRESET_0[8, 25, 43]
GTP:A_RXRESET_1[8, 23, 43]
GTP:A_TXELECIDLE_0[8, 25, 52]
GTP:A_TXELECIDLE_1[8, 23, 52]
GTP:A_TXENPMAPHASEALIGN_0[8, 25, 34]
GTP:A_TXENPMAPHASEALIGN_1[8, 23, 34]
GTP:A_TXPMASETPHASE_0[8, 25, 35]
GTP:A_TXPMASETPHASE_1[8, 23, 35]
GTP:A_TXPOLARITY_0[8, 25, 16]
GTP:A_TXPOLARITY_1[8, 23, 16]
GTP:A_TXPRBSFORCEERR_0[8, 25, 60]
GTP:A_TXPRBSFORCEERR_1[8, 23, 60]
GTP:A_TXRESET_0[8, 25, 42]
GTP:A_TXRESET_1[8, 23, 42]
GTP:CHAN_BOND_KEEP_ALIGN_0[13, 25, 26]
GTP:CHAN_BOND_KEEP_ALIGN_1[13, 23, 26]
GTP:CHAN_BOND_SEQ_2_USE_0[13, 25, 27]
GTP:CHAN_BOND_SEQ_2_USE_1[13, 23, 27]
GTP:CLKINDC_B_0[12, 24, 16]
GTP:CLKINDC_B_1[12, 22, 16]
GTP:CLKRCV_TRST_0[12, 24, 17]
GTP:CLKRCV_TRST_1[12, 22, 17]
GTP:CLK_CORRECT_USE_0[15, 25, 14]
GTP:CLK_CORRECT_USE_1[15, 23, 14]
GTP:CLK_COR_INSERT_IDLE_FLAG_0[15, 25, 10]
GTP:CLK_COR_INSERT_IDLE_FLAG_1[15, 23, 10]
GTP:CLK_COR_KEEP_IDLE_0[15, 25, 11]
GTP:CLK_COR_KEEP_IDLE_1[15, 23, 11]
GTP:CLK_COR_PRECEDENCE_0[15, 25, 12]
GTP:CLK_COR_PRECEDENCE_1[15, 23, 12]
GTP:CLK_COR_SEQ_2_USE_0[15, 25, 13]
GTP:CLK_COR_SEQ_2_USE_1[15, 23, 13]
GTP:DEC_MCOMMA_DETECT_0[11, 25, 12]
GTP:DEC_MCOMMA_DETECT_1[11, 23, 12]
GTP:DEC_PCOMMA_DETECT_0[11, 25, 14]
GTP:DEC_PCOMMA_DETECT_1[11, 23, 14]
GTP:DEC_VALID_COMMA_ONLY_0[11, 25, 11]
GTP:DEC_VALID_COMMA_ONLY_1[11, 23, 11]
GTP:GTP_CFG_PWRUP_0[10, 25, 28]
GTP:GTP_CFG_PWRUP_1[10, 23, 28]
GTP:LOOPBACK_DRP_EN_0[8, 25, 2]
GTP:LOOPBACK_DRP_EN_1[8, 23, 2]
GTP:MASTER_DRP_EN_0[8, 25, 0]
GTP:MASTER_DRP_EN_1[8, 23, 0]
GTP:MCOMMA_DETECT_0[11, 25, 13]
GTP:MCOMMA_DETECT_1[11, 23, 13]
GTP:PCI_EXPRESS_MODE_0[13, 25, 30]
GTP:PCI_EXPRESS_MODE_1[13, 23, 30]
GTP:PCOMMA_DETECT_0[11, 25, 15]
GTP:PCOMMA_DETECT_1[11, 23, 15]
GTP:PDELIDLE_DRP_EN_0[8, 25, 8]
GTP:PDELIDLE_DRP_EN_1[8, 23, 8]
GTP:PHASEALIGN_DRP_EN_0[8, 25, 5]
GTP:PHASEALIGN_DRP_EN_1[8, 23, 5]
GTP:PLL_DRP_EN_0[8, 25, 6]
GTP:PLL_DRP_EN_1[8, 23, 6]
GTP:PLL_SATA_0[8, 24, 42]
GTP:PLL_SATA_1[8, 22, 42]
GTP:PLL_STARTUP_EN_0[13, 24, 12]
GTP:PLL_STARTUP_EN_1[13, 22, 12]
GTP:POLARITY_DRP_EN_0[8, 25, 1]
GTP:POLARITY_DRP_EN_1[8, 23, 1]
GTP:PRBS_DRP_EN_0[8, 25, 9]
GTP:PRBS_DRP_EN_1[8, 23, 9]
GTP:RCV_TERM_GND_0[14, 24, 8]
GTP:RCV_TERM_GND_1[14, 22, 8]
GTP:RCV_TERM_VTTRX_0[14, 24, 9]
GTP:RCV_TERM_VTTRX_1[14, 22, 9]
GTP:REFSELPLL0_STATIC_ENABLE[12, 24, 31]
GTP:REFSELPLL1_STATIC_ENABLE[12, 22, 31]
GTP:RESET_DRP_EN_0[8, 25, 7]
GTP:RESET_DRP_EN_1[8, 23, 7]
GTP:RXEQ_DRP_EN_0[8, 25, 4]
GTP:RXEQ_DRP_EN_1[8, 23, 4]
GTP:RXPRBSERR_LOOPBACK_0[8, 24, 48]
GTP:RXPRBSERR_LOOPBACK_1[8, 22, 48]
GTP:RX_BUFFER_USE_0[9, 24, 0]
GTP:RX_BUFFER_USE_1[9, 22, 0]
GTP:RX_CDR_FORCE_ROTATE_0[13, 24, 22]
GTP:RX_CDR_FORCE_ROTATE_1[13, 22, 22]
GTP:RX_DECODE_SEQ_MATCH_0[15, 25, 15]
GTP:RX_DECODE_SEQ_MATCH_1[15, 23, 15]
GTP:RX_EN_IDLE_HOLD_CDR_0[9, 25, 27]
GTP:RX_EN_IDLE_HOLD_CDR_1[9, 23, 27]
GTP:RX_EN_IDLE_RESET_BUF_0[9, 25, 30]
GTP:RX_EN_IDLE_RESET_BUF_1[9, 23, 30]
GTP:RX_EN_IDLE_RESET_FR_0[9, 25, 28]
GTP:RX_EN_IDLE_RESET_FR_1[9, 23, 28]
GTP:RX_EN_IDLE_RESET_PH_0[9, 25, 29]
GTP:RX_EN_IDLE_RESET_PH_1[9, 23, 29]
GTP:RX_EN_MODE_RESET_BUF_0[12, 25, 42]
GTP:RX_EN_MODE_RESET_BUF_1[12, 23, 42]
GTP:RX_LOSS_OF_SYNC_FSM_0[9, 24, 5]
GTP:RX_LOSS_OF_SYNC_FSM_1[9, 22, 5]
GTP:TERMINATION_OVRD_0[13, 24, 21]
GTP:TERMINATION_OVRD_1[13, 22, 21]
GTP:TXDRIVE_DRP_EN_0[8, 25, 3]
GTP:TXDRIVE_DRP_EN_1[8, 23, 3]
GTP:TX_BUFFER_USE_0[9, 24, 1]
GTP:TX_BUFFER_USE_1[9, 22, 1]
GTP:USR_CODE_ERR_CLR_0[9, 24, 12]
GTP:USR_CODE_ERR_CLR_1[9, 22, 12]
Non-inverted[0]
GTP:RX_STATUS_FMT_0[8, 24, 43]
GTP:RX_STATUS_FMT_1[8, 22, 43]
PCIE0
SATA1
GTP:A_RXEQMIX_0[8, 25, 33][8, 25, 32]
GTP:A_RXEQMIX_1[8, 23, 33][8, 23, 32]
GTP:A_RXPOWERDOWN_0[8, 25, 49][8, 25, 48]
GTP:A_RXPOWERDOWN_1[8, 23, 49][8, 23, 48]
GTP:A_TXPOWERDOWN_0[8, 25, 51][8, 25, 50]
GTP:A_TXPOWERDOWN_1[8, 23, 51][8, 23, 50]
GTP:CM_TRIM_0[14, 24, 7][14, 24, 6]
GTP:CM_TRIM_1[14, 22, 7][14, 22, 6]
GTP:TEST_CLK_OUT_GTP_0[12, 24, 33][12, 24, 32]
GTP:TEST_CLK_OUT_GTP_1[12, 22, 33][12, 22, 32]
GTP:TX_TDCC_CFG_0[14, 24, 4][14, 24, 3]
GTP:TX_TDCC_CFG_1[14, 22, 4][14, 22, 3]
Non-inverted[1][0]
GTP:RX_LOS_THRESHOLD_0[9, 24, 8][9, 24, 7][9, 24, 6]
GTP:RX_LOS_THRESHOLD_1[9, 22, 8][9, 22, 7][9, 22, 6]
4000
8001
16010
32011
64100
128101
256110
512111
GTP:RX_LOS_INVALID_INCR_0[9, 24, 11][9, 24, 10][9, 24, 9]
GTP:RX_LOS_INVALID_INCR_1[9, 22, 11][9, 22, 10][9, 22, 9]
1000
2001
4010
8011
16100
32101
64110
128111
GTP:PMA_CDR_SCAN_0[9, 24, 42][9, 24, 41][9, 24, 40][9, 24, 39][9, 24, 38][9, 24, 37][9, 24, 36][9, 24, 35][9, 24, 34][9, 24, 33][9, 24, 32][9, 24, 31][9, 24, 30][9, 24, 29][9, 24, 28][9, 24, 27][9, 24, 26][9, 24, 25][9, 24, 24][9, 24, 23][9, 24, 22][9, 24, 21][9, 24, 20][9, 24, 19][9, 24, 18][9, 24, 17][9, 24, 16]
GTP:PMA_CDR_SCAN_1[9, 22, 42][9, 22, 41][9, 22, 40][9, 22, 39][9, 22, 38][9, 22, 37][9, 22, 36][9, 22, 35][9, 22, 34][9, 22, 33][9, 22, 32][9, 22, 31][9, 22, 30][9, 22, 29][9, 22, 28][9, 22, 27][9, 22, 26][9, 22, 25][9, 22, 24][9, 22, 23][9, 22, 22][9, 22, 21][9, 22, 20][9, 22, 19][9, 22, 18][9, 22, 17][9, 22, 16]
Non-inverted[26][25][24][23][22][21][20][19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:TX_DETECT_RX_CFG_0[9, 24, 61][9, 24, 60][9, 24, 59][9, 24, 58][9, 24, 57][9, 24, 56][9, 24, 55][9, 24, 54][9, 24, 53][9, 24, 52][9, 24, 51][9, 24, 50][9, 24, 49][9, 24, 48]
GTP:TX_DETECT_RX_CFG_1[9, 22, 61][9, 22, 60][9, 22, 59][9, 22, 58][9, 22, 57][9, 22, 56][9, 22, 55][9, 22, 54][9, 22, 53][9, 22, 52][9, 22, 51][9, 22, 50][9, 22, 49][9, 22, 48]
Non-inverted[13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:CLK25_DIVIDER_0[9, 25, 18][9, 25, 17][9, 25, 16]
GTP:CLK25_DIVIDER_1[9, 23, 18][9, 23, 17][9, 23, 16]
1000
2001
3010
4011
5100
6101
10110
12111
GTP:OOB_CLK_DIVIDER_0[9, 25, 21][9, 25, 20][9, 25, 19]
GTP:OOB_CLK_DIVIDER_1[9, 23, 21][9, 23, 20][9, 23, 19]
1000
2001
4010
6011
8100
10101
12110
14111
GTP:CDR_PH_ADJ_TIME_0[9, 25, 26][9, 25, 25][9, 25, 24][9, 25, 23][9, 25, 22]
GTP:CDR_PH_ADJ_TIME_1[9, 23, 26][9, 23, 25][9, 23, 24][9, 23, 23][9, 23, 22]
GTP:CLK_COR_REPEAT_WAIT_0[14, 25, 46][14, 25, 45][14, 25, 44][14, 25, 43][14, 25, 42]
GTP:CLK_COR_REPEAT_WAIT_1[14, 23, 46][14, 23, 45][14, 23, 44][14, 23, 43][14, 23, 42]
GTP:TERMINATION_CTRL_0[13, 24, 20][13, 24, 19][13, 24, 18][13, 24, 17][13, 24, 16]
GTP:TERMINATION_CTRL_1[13, 22, 20][13, 22, 19][13, 22, 18][13, 22, 17][13, 22, 16]
Non-inverted[4][3][2][1][0]
GTP:PLL_COM_CFG_0[9, 25, 55][9, 25, 54][9, 25, 53][9, 25, 52][9, 25, 51][9, 25, 50][9, 25, 49][9, 25, 48][9, 25, 47][9, 25, 46][9, 25, 45][9, 25, 44][9, 25, 43][9, 25, 42][9, 25, 41][9, 25, 40][9, 25, 39][9, 25, 38][9, 25, 37][9, 25, 36][9, 25, 35][9, 25, 34][9, 25, 33][9, 25, 32]
GTP:PLL_COM_CFG_1[9, 23, 55][9, 23, 54][9, 23, 53][9, 23, 52][9, 23, 51][9, 23, 50][9, 23, 49][9, 23, 48][9, 23, 47][9, 23, 46][9, 23, 45][9, 23, 44][9, 23, 43][9, 23, 42][9, 23, 41][9, 23, 40][9, 23, 39][9, 23, 38][9, 23, 37][9, 23, 36][9, 23, 35][9, 23, 34][9, 23, 33][9, 23, 32]
Non-inverted[23][22][21][20][19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:RX_XCLK_SEL_0[10, 25, 0]
GTP:RX_XCLK_SEL_1[10, 23, 0]
RXREC0
RXUSR1
GTP:TX_XCLK_SEL_0[10, 25, 1]
GTP:TX_XCLK_SEL_1[10, 23, 1]
TXOUT0
TXUSR1
GTP:TRANS_TIME_FROM_P2_0[10, 25, 27][10, 25, 26][10, 25, 25][10, 25, 24][10, 25, 23][10, 25, 22][10, 25, 21][10, 25, 20][10, 25, 19][10, 25, 18][10, 25, 17][10, 25, 16]
GTP:TRANS_TIME_FROM_P2_1[10, 23, 27][10, 23, 26][10, 23, 25][10, 23, 24][10, 23, 23][10, 23, 22][10, 23, 21][10, 23, 20][10, 23, 19][10, 23, 18][10, 23, 17][10, 23, 16]
Non-inverted[11][10][9][8][7][6][5][4][3][2][1][0]
GTP:PLL_CP_CFG_0[13, 24, 7][13, 24, 6][13, 24, 5][13, 24, 4][13, 24, 3][13, 24, 2][13, 24, 1][13, 24, 0]
GTP:PLL_CP_CFG_1[13, 22, 7][13, 22, 6][13, 22, 5][13, 22, 4][13, 22, 3][13, 22, 2][13, 22, 1][13, 22, 0]
GTP:RXEQ_CFG_0[14, 24, 47][14, 24, 46][14, 24, 45][14, 24, 44][14, 24, 43][14, 24, 42][14, 24, 41][14, 24, 40]
GTP:RXEQ_CFG_1[14, 22, 47][14, 22, 46][14, 22, 45][14, 22, 44][14, 22, 43][14, 22, 42][14, 22, 41][14, 22, 40]
GTP:TRANS_TIME_NON_P2_0[10, 25, 39][10, 25, 38][10, 25, 37][10, 25, 36][10, 25, 35][10, 25, 34][10, 25, 33][10, 25, 32]
GTP:TRANS_TIME_NON_P2_1[10, 23, 39][10, 23, 38][10, 23, 37][10, 23, 36][10, 23, 35][10, 23, 34][10, 23, 33][10, 23, 32]
Non-inverted[7][6][5][4][3][2][1][0]
GTP:CHAN_BOND_SEQ_1_1_0[11, 25, 57][11, 25, 56][11, 25, 55][11, 25, 54][11, 25, 53][11, 25, 52][11, 25, 51][11, 25, 50][11, 25, 49][11, 25, 48]
GTP:CHAN_BOND_SEQ_1_1_1[11, 23, 57][11, 23, 56][11, 23, 55][11, 23, 54][11, 23, 53][11, 23, 52][11, 23, 51][11, 23, 50][11, 23, 49][11, 23, 48]
GTP:CHAN_BOND_SEQ_1_2_0[12, 25, 9][12, 25, 8][12, 25, 7][12, 25, 6][12, 25, 5][12, 25, 4][12, 25, 3][12, 25, 2][12, 25, 1][12, 25, 0]
GTP:CHAN_BOND_SEQ_1_2_1[12, 23, 9][12, 23, 8][12, 23, 7][12, 23, 6][12, 23, 5][12, 23, 4][12, 23, 3][12, 23, 2][12, 23, 1][12, 23, 0]
GTP:CHAN_BOND_SEQ_1_3_0[12, 25, 25][12, 25, 24][12, 25, 23][12, 25, 22][12, 25, 21][12, 25, 20][12, 25, 19][12, 25, 18][12, 25, 17][12, 25, 16]
GTP:CHAN_BOND_SEQ_1_3_1[12, 23, 25][12, 23, 24][12, 23, 23][12, 23, 22][12, 23, 21][12, 23, 20][12, 23, 19][12, 23, 18][12, 23, 17][12, 23, 16]
GTP:CHAN_BOND_SEQ_1_4_0[12, 25, 41][12, 25, 40][12, 25, 39][12, 25, 38][12, 25, 37][12, 25, 36][12, 25, 35][12, 25, 34][12, 25, 33][12, 25, 32]
GTP:CHAN_BOND_SEQ_1_4_1[12, 23, 41][12, 23, 40][12, 23, 39][12, 23, 38][12, 23, 37][12, 23, 36][12, 23, 35][12, 23, 34][12, 23, 33][12, 23, 32]
GTP:CHAN_BOND_SEQ_2_1_0[12, 25, 57][12, 25, 56][12, 25, 55][12, 25, 54][12, 25, 53][12, 25, 52][12, 25, 51][12, 25, 50][12, 25, 49][12, 25, 48]
GTP:CHAN_BOND_SEQ_2_1_1[12, 23, 57][12, 23, 56][12, 23, 55][12, 23, 54][12, 23, 53][12, 23, 52][12, 23, 51][12, 23, 50][12, 23, 49][12, 23, 48]
GTP:CHAN_BOND_SEQ_2_2_0[13, 25, 9][13, 25, 8][13, 25, 7][13, 25, 6][13, 25, 5][13, 25, 4][13, 25, 3][13, 25, 2][13, 25, 1][13, 25, 0]
GTP:CHAN_BOND_SEQ_2_2_1[13, 23, 9][13, 23, 8][13, 23, 7][13, 23, 6][13, 23, 5][13, 23, 4][13, 23, 3][13, 23, 2][13, 23, 1][13, 23, 0]
GTP:CHAN_BOND_SEQ_2_3_0[13, 25, 25][13, 25, 24][13, 25, 23][13, 25, 22][13, 25, 21][13, 25, 20][13, 25, 19][13, 25, 18][13, 25, 17][13, 25, 16]
GTP:CHAN_BOND_SEQ_2_3_1[13, 23, 25][13, 23, 24][13, 23, 23][13, 23, 22][13, 23, 21][13, 23, 20][13, 23, 19][13, 23, 18][13, 23, 17][13, 23, 16]
GTP:CHAN_BOND_SEQ_2_4_0[13, 25, 41][13, 25, 40][13, 25, 39][13, 25, 38][13, 25, 37][13, 25, 36][13, 25, 35][13, 25, 34][13, 25, 33][13, 25, 32]
GTP:CHAN_BOND_SEQ_2_4_1[13, 23, 41][13, 23, 40][13, 23, 39][13, 23, 38][13, 23, 37][13, 23, 36][13, 23, 35][13, 23, 34][13, 23, 33][13, 23, 32]
GTP:CLK_COR_SEQ_1_1_0[13, 25, 57][13, 25, 56][13, 25, 55][13, 25, 54][13, 25, 53][13, 25, 52][13, 25, 51][13, 25, 50][13, 25, 49][13, 25, 48]
GTP:CLK_COR_SEQ_1_1_1[13, 23, 57][13, 23, 56][13, 23, 55][13, 23, 54][13, 23, 53][13, 23, 52][13, 23, 51][13, 23, 50][13, 23, 49][13, 23, 48]
GTP:CLK_COR_SEQ_1_2_0[14, 25, 9][14, 25, 8][14, 25, 7][14, 25, 6][14, 25, 5][14, 25, 4][14, 25, 3][14, 25, 2][14, 25, 1][14, 25, 0]
GTP:CLK_COR_SEQ_1_2_1[14, 23, 9][14, 23, 8][14, 23, 7][14, 23, 6][14, 23, 5][14, 23, 4][14, 23, 3][14, 23, 2][14, 23, 1][14, 23, 0]
GTP:CLK_COR_SEQ_1_3_0[14, 25, 25][14, 25, 24][14, 25, 23][14, 25, 22][14, 25, 21][14, 25, 20][14, 25, 19][14, 25, 18][14, 25, 17][14, 25, 16]
GTP:CLK_COR_SEQ_1_3_1[14, 23, 25][14, 23, 24][14, 23, 23][14, 23, 22][14, 23, 21][14, 23, 20][14, 23, 19][14, 23, 18][14, 23, 17][14, 23, 16]
GTP:CLK_COR_SEQ_1_4_0[14, 25, 41][14, 25, 40][14, 25, 39][14, 25, 38][14, 25, 37][14, 25, 36][14, 25, 35][14, 25, 34][14, 25, 33][14, 25, 32]
GTP:CLK_COR_SEQ_1_4_1[14, 23, 41][14, 23, 40][14, 23, 39][14, 23, 38][14, 23, 37][14, 23, 36][14, 23, 35][14, 23, 34][14, 23, 33][14, 23, 32]
GTP:CLK_COR_SEQ_2_1_0[14, 25, 57][14, 25, 56][14, 25, 55][14, 25, 54][14, 25, 53][14, 25, 52][14, 25, 51][14, 25, 50][14, 25, 49][14, 25, 48]
GTP:CLK_COR_SEQ_2_1_1[14, 23, 57][14, 23, 56][14, 23, 55][14, 23, 54][14, 23, 53][14, 23, 52][14, 23, 51][14, 23, 50][14, 23, 49][14, 23, 48]
GTP:CLK_COR_SEQ_2_2_0[15, 25, 9][15, 25, 8][15, 25, 7][15, 25, 6][15, 25, 5][15, 25, 4][15, 25, 3][15, 25, 2][15, 25, 1][15, 25, 0]
GTP:CLK_COR_SEQ_2_2_1[15, 23, 9][15, 23, 8][15, 23, 7][15, 23, 6][15, 23, 5][15, 23, 4][15, 23, 3][15, 23, 2][15, 23, 1][15, 23, 0]
GTP:CLK_COR_SEQ_2_3_0[15, 25, 25][15, 25, 24][15, 25, 23][15, 25, 22][15, 25, 21][15, 25, 20][15, 25, 19][15, 25, 18][15, 25, 17][15, 25, 16]
GTP:CLK_COR_SEQ_2_3_1[15, 23, 25][15, 23, 24][15, 23, 23][15, 23, 22][15, 23, 21][15, 23, 20][15, 23, 19][15, 23, 18][15, 23, 17][15, 23, 16]
GTP:CLK_COR_SEQ_2_4_0[15, 25, 41][15, 25, 40][15, 25, 39][15, 25, 38][15, 25, 37][15, 25, 36][15, 25, 35][15, 25, 34][15, 25, 33][15, 25, 32]
GTP:CLK_COR_SEQ_2_4_1[15, 23, 41][15, 23, 40][15, 23, 39][15, 23, 38][15, 23, 37][15, 23, 36][15, 23, 35][15, 23, 34][15, 23, 33][15, 23, 32]
GTP:COMMA_10B_ENABLE_0[11, 25, 9][11, 25, 8][11, 25, 7][11, 25, 6][11, 25, 5][11, 25, 4][11, 25, 3][11, 25, 2][11, 25, 1][11, 25, 0]
GTP:COMMA_10B_ENABLE_1[11, 23, 9][11, 23, 8][11, 23, 7][11, 23, 6][11, 23, 5][11, 23, 4][11, 23, 3][11, 23, 2][11, 23, 1][11, 23, 0]
GTP:MCOMMA_10B_VALUE_0[11, 25, 25][11, 25, 24][11, 25, 23][11, 25, 22][11, 25, 21][11, 25, 20][11, 25, 19][11, 25, 18][11, 25, 17][11, 25, 16]
GTP:MCOMMA_10B_VALUE_1[11, 23, 25][11, 23, 24][11, 23, 23][11, 23, 22][11, 23, 21][11, 23, 20][11, 23, 19][11, 23, 18][11, 23, 17][11, 23, 16]
GTP:PCOMMA_10B_VALUE_0[11, 25, 41][11, 25, 40][11, 25, 39][11, 25, 38][11, 25, 37][11, 25, 36][11, 25, 35][11, 25, 34][11, 25, 33][11, 25, 32]
GTP:PCOMMA_10B_VALUE_1[11, 23, 41][11, 23, 40][11, 23, 39][11, 23, 38][11, 23, 37][11, 23, 36][11, 23, 35][11, 23, 34][11, 23, 33][11, 23, 32]
GTP:TRANS_TIME_TO_P2_0[10, 25, 57][10, 25, 56][10, 25, 55][10, 25, 54][10, 25, 53][10, 25, 52][10, 25, 51][10, 25, 50][10, 25, 49][10, 25, 48]
GTP:TRANS_TIME_TO_P2_1[10, 23, 57][10, 23, 56][10, 23, 55][10, 23, 54][10, 23, 53][10, 23, 52][10, 23, 51][10, 23, 50][10, 23, 49][10, 23, 48]
Non-inverted[9][8][7][6][5][4][3][2][1][0]
GTP:TST_ATTR_0[11, 24, 31][11, 24, 30][11, 24, 29][11, 24, 28][11, 24, 27][11, 24, 26][11, 24, 25][11, 24, 24][11, 24, 23][11, 24, 22][11, 24, 21][11, 24, 20][11, 24, 19][11, 24, 18][11, 24, 17][11, 24, 16][11, 24, 15][11, 24, 14][11, 24, 13][11, 24, 12][11, 24, 11][11, 24, 10][11, 24, 9][11, 24, 8][11, 24, 7][11, 24, 6][11, 24, 5][11, 24, 4][11, 24, 3][11, 24, 2][11, 24, 1][11, 24, 0]
GTP:TST_ATTR_1[11, 22, 31][11, 22, 30][11, 22, 29][11, 22, 28][11, 22, 27][11, 22, 26][11, 22, 25][11, 22, 24][11, 22, 23][11, 22, 22][11, 22, 21][11, 22, 20][11, 22, 19][11, 22, 18][11, 22, 17][11, 22, 16][11, 22, 15][11, 22, 14][11, 22, 13][11, 22, 12][11, 22, 11][11, 22, 10][11, 22, 9][11, 22, 8][11, 22, 7][11, 22, 6][11, 22, 5][11, 22, 4][11, 22, 3][11, 22, 2][11, 22, 1][11, 22, 0]
Non-inverted[31][30][29][28][27][26][25][24][23][22][21][20][19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:ALIGN_COMMA_WORD_0[11, 25, 10]
GTP:ALIGN_COMMA_WORD_1[11, 23, 10]
10
21
GTP:RX_SLIDE_MODE_0[11, 25, 26]
GTP:RX_SLIDE_MODE_1[11, 23, 26]
PCS0
PMA1
GTP:INV.DCLK[12, 24, 2]
GTP:INV.RXUSRCLK0[12, 24, 3]
GTP:INV.RXUSRCLK1[12, 22, 2]
GTP:INV.RXUSRCLK20[12, 24, 4]
GTP:INV.RXUSRCLK21[12, 22, 3]
GTP:INV.TSTCLK0[12, 24, 5]
GTP:INV.TSTCLK1[12, 22, 4]
GTP:INV.TXUSRCLK0[12, 24, 0]
GTP:INV.TXUSRCLK1[12, 22, 0]
GTP:INV.TXUSRCLK20[12, 24, 1]
GTP:INV.TXUSRCLK21[12, 22, 1]
Inverted~[0]
GTP:MUX.CLKOUT_EAST[12, 22, 18]
GTP:MUX.CLKOUT_WEST[12, 24, 18]
REFCLKPLL00
REFCLKPLL11
GTP:REFSELPLL0_STATIC[12, 24, 30][12, 24, 29][12, 24, 28]
GTP:REFSELPLL1_STATIC[12, 22, 30][12, 22, 29][12, 22, 28]
CLK0000
GCLK0001
PLLCLK0010
CLKINEAST011
CLK1100
GCLK1101
PLLCLK1110
CLKINWEST111
GTP:CLK_OUT_GTP_SEL_1[12, 22, 34]
TXOUTCLK10
REFCLKPLL11
GTP:PLL_DIVSEL_REF_0[12, 24, 52][12, 24, 51][12, 24, 50][12, 24, 49][12, 24, 48]
GTP:PLL_DIVSEL_REF_1[12, 22, 52][12, 22, 51][12, 22, 50][12, 22, 49][12, 22, 48]
200000
300001
400010
500011
600101
800110
1000111
1201101
1601110
2001111
110000
GTP:PLL_DIVSEL_FB_0[12, 24, 58][12, 24, 56][12, 24, 55][12, 24, 54]
GTP:PLL_DIVSEL_FB_1[12, 22, 58][12, 22, 56][12, 22, 55][12, 22, 54]
20000
30001
40010
50011
80110
100111
11000
GTP:CLK_OUT_GTP_SEL_0[12, 24, 34]
TXOUTCLK00
REFCLKPLL01
GTP:PLL_RXDIVSEL_OUT_0[13, 24, 9][13, 24, 8]
GTP:PLL_RXDIVSEL_OUT_1[13, 22, 9][13, 22, 8]
GTP:PLL_TXDIVSEL_OUT_0[13, 24, 11][13, 24, 10]
GTP:PLL_TXDIVSEL_OUT_1[13, 22, 11][13, 22, 10]
100
201
410
GTP:PLL_SOURCE_0[13, 24, 13]
GTP:PLL_SOURCE_1[13, 22, 13]
PLL00
PLL11
GTP:PMA_RX_CFG_0[13, 24, 56][13, 24, 55][13, 24, 54][13, 24, 53][13, 24, 52][13, 24, 51][13, 24, 50][13, 24, 49][13, 24, 48][13, 24, 47][13, 24, 46][13, 24, 45][13, 24, 44][13, 24, 43][13, 24, 42][13, 24, 41][13, 24, 40][13, 24, 39][13, 24, 38][13, 24, 37][13, 24, 36][13, 24, 35][13, 24, 34][13, 24, 33][13, 24, 32]
GTP:PMA_RX_CFG_1[13, 22, 56][13, 22, 55][13, 22, 54][13, 22, 53][13, 22, 52][13, 22, 51][13, 22, 50][13, 22, 49][13, 22, 48][13, 22, 47][13, 22, 46][13, 22, 45][13, 22, 44][13, 22, 43][13, 22, 42][13, 22, 41][13, 22, 40][13, 22, 39][13, 22, 38][13, 22, 37][13, 22, 36][13, 22, 35][13, 22, 34][13, 22, 33][13, 22, 32]
Non-inverted[24][23][22][21][20][19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:PMA_RXSYNC_CFG_0[13, 24, 63][13, 24, 62][13, 24, 61][13, 24, 60][13, 24, 59][13, 24, 58][13, 24, 57]
GTP:PMA_RXSYNC_CFG_1[13, 22, 63][13, 22, 62][13, 22, 61][13, 22, 60][13, 22, 59][13, 22, 58][13, 22, 57]
Non-inverted[6][5][4][3][2][1][0]
GTP:CHAN_BOND_SEQ_LEN_0[13, 25, 29][13, 25, 28]
GTP:CHAN_BOND_SEQ_LEN_1[13, 23, 29][13, 23, 28]
GTP:CLK_COR_ADJ_LEN_0[13, 25, 59][13, 25, 58]
GTP:CLK_COR_ADJ_LEN_1[13, 23, 59][13, 23, 58]
GTP:CLK_COR_DET_LEN_0[14, 25, 59][14, 25, 58]
GTP:CLK_COR_DET_LEN_1[14, 23, 59][14, 23, 58]
100
201
310
411
GTP:PMA_TX_CFG_0[14, 24, 35][14, 24, 34][14, 24, 33][14, 24, 32][14, 24, 31][14, 24, 30][14, 24, 29][14, 24, 28][14, 24, 27][14, 24, 26][14, 24, 25][14, 24, 24][14, 24, 23][14, 24, 22][14, 24, 21][14, 24, 20][14, 24, 19][14, 24, 18][14, 24, 17][14, 24, 16]
GTP:PMA_TX_CFG_1[14, 22, 35][14, 22, 34][14, 22, 33][14, 22, 32][14, 22, 31][14, 22, 30][14, 22, 29][14, 22, 28][14, 22, 27][14, 22, 26][14, 22, 25][14, 22, 24][14, 22, 23][14, 22, 22][14, 22, 21][14, 22, 20][14, 22, 19][14, 22, 18][14, 22, 17][14, 22, 16]
Non-inverted[19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]
GTP:PMA_COM_CFG_EAST[15, 22, 51][15, 22, 50][15, 22, 49][15, 22, 48][15, 22, 47][15, 22, 46][15, 22, 45][15, 22, 44][15, 22, 43][15, 22, 42][15, 22, 41][15, 22, 40][15, 22, 39][15, 22, 38][15, 22, 37][15, 22, 36][15, 22, 35][15, 22, 34][15, 22, 33][15, 22, 32][15, 22, 31][15, 22, 30][15, 22, 29][15, 22, 28][15, 22, 27][15, 22, 26][15, 22, 25][15, 22, 24][15, 22, 23][15, 22, 22][15, 22, 21][15, 22, 20][15, 22, 19][15, 22, 18][15, 22, 17][15, 22, 16]
GTP:PMA_COM_CFG_WEST[15, 24, 51][15, 24, 50][15, 24, 49][15, 24, 48][15, 24, 47][15, 24, 46][15, 24, 45][15, 24, 44][15, 24, 43][15, 24, 42][15, 24, 41][15, 24, 40][15, 24, 39][15, 24, 38][15, 24, 37][15, 24, 36][15, 24, 35][15, 24, 34][15, 24, 33][15, 24, 32][15, 24, 31][15, 24, 30][15, 24, 29][15, 24, 28][15, 24, 27][15, 24, 26][15, 24, 25][15, 24, 24][15, 24, 23][15, 24, 22][15, 24, 21][15, 24, 20][15, 24, 19][15, 24, 18][15, 24, 17][15, 24, 16]
Non-inverted[35][34][33][32][31][30][29][28][27][26][25][24][23][22][21][20][19][18][17][16][15][14][13][12][11][10][9][8][7][6][5][4][3][2][1][0]